Debian, Ubuntu, and Raspbian Mirror at ETH Zurich

Reachable as debian.ethz.ch HTTP HTTPS FTP
ubuntu.ethz.ch HTTP HTTPS FTP
raspbian.ethz.ch HTTP HTTPS FTP
ftp.ch.debian.org HTTP HTTPS FTP

HTTPS Access

While we would like to provide HTTPS access for all hostnames pointing to this mirror, Debian prefers having primary mirrors to not have HTTPS for their ftp.*.debian.org hostname.

If you prefer to access this Debian mirror via HTTPS, please replace https://ftp.ch.debian.org/ by https://debian.ethz.ch/ in your sources.list file.

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]3proxy-0.9.4-r2.apk2025-04-04 07:42 366K 
[   ]3proxy-doc-0.9.4-r2.apk2025-04-04 07:42 25K 
[   ]3proxy-openrc-0.9.4-r2.apk2025-04-04 07:42 1.6K 
[   ]66-0.8.0.2-r0.apk2025-02-12 15:28 289K 
[   ]66-dev-0.8.0.2-r0.apk2025-02-12 15:28 451K 
[   ]66-doc-0.8.0.2-r0.apk2025-02-12 15:28 168K 
[   ]66-init-0.8.0.2-r0.apk2025-02-12 15:28 1.5K 
[   ]66-tools-0.1.1.0-r0.apk2025-01-12 15:10 56K 
[   ]66-tools-dev-0.1.1.0-r0.apk2025-01-12 15:10 1.8K 
[   ]66-tools-doc-0.1.1.0-r0.apk2025-01-12 15:10 39K 
[   ]66-tools-nsrules-0.1.1.0-r0.apk2025-01-12 15:10 2.8K 
[   ]APKINDEX.tar.gz2025-05-10 11:26 623K 
[   ]a2jmidid-9-r3.apk2024-10-25 22:42 28K 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 22:42 4.2K 
[   ]abc-0_git20240102-r0.apk2024-10-25 22:42 5.0M 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 22:42 167K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 22:42 49K 
[   ]acmetool-0.2.2-r12.apk2025-04-12 08:13 4.4M 
[   ]acmetool-doc-0.2.2-r12.apk2025-04-12 08:13 47K 
[   ]adjtimex-1.29-r0.apk2024-10-25 22:42 19K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 22:42 7.3K 
[   ]admesh-0.98.5-r0.apk2024-10-25 22:42 26K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 22:42 4.0K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 22:42 23K 
[   ]advancemame-3.9-r4.apk2024-10-25 22:42 12M 
[   ]advancemame-data-3.9-r4.apk2024-10-25 22:42 5.8M 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 22:42 373K 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 22:42 793K 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 22:42 3.3M 
[   ]advancescan-1.18-r1.apk2024-10-25 22:42 276K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 22:42 7.2K 
[   ]afetch-2.2.0-r1.apk2024-10-25 22:42 8.4K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 22:42 14K 
[   ]agate-3.3.8-r0.apk2024-10-25 22:42 756K 
[   ]agate-openrc-3.3.8-r0.apk2024-10-25 22:42 2.0K 
[   ]agrep-0.8.0-r2.apk2024-10-25 22:42 8.0K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 22:42 4.1K 
[   ]aide-0.18.8-r0.apk2024-10-25 22:42 76K 
[   ]aide-doc-0.18.8-r0.apk2024-10-25 22:42 14K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 22:42 6.3K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 22:42 18K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 22:42 2.5K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 22:42 4.3K 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 22:42 4.0K 
[   ]alpine-lift-0.2.0-r22.apk2025-04-12 08:13 3.4M 
[   ]alps-0_git20230807-r11.apk2025-04-12 08:13 5.4M 
[   ]alps-openrc-0_git20230807-r11.apk2025-04-12 08:13 2.0K 
[   ]alttab-1.7.1-r0.apk2024-10-25 22:42 38K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 22:42 10K 
[   ]amber-0.3.3-r0.apk2024-10-25 22:42 440K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 17:54 214K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 17:54 6.7K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 22:42 14K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 22:42 9.6K 
[   ]amiitool-2-r2.apk2024-10-25 22:42 8.0K 
[   ]ampy-1.1.0-r6.apk2025-03-19 12:44 15K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-19 12:44 4.1K 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-19 12:44 19K 
[   ]amule-2.3.3-r13.apk2024-10-25 22:42 4.2M 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 22:42 281K 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 22:42 1.6M 
[   ]anarch-1.0-r1.apk2024-10-25 22:42 103K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 22:42 18K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 22:42 302K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 22:42 59K 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 22:42 299K 
[   ]android-apkeep-0.17.0-r0.apk2025-01-16 00:35 1.9M 
[   ]android-apktool-2.11.1-r0.apk2025-04-09 02:10 23M 
[   ]android-file-transfer-4.3-r1.apk2025-01-26 21:15 184K 
[   ]android-file-transfer-cli-4.3-r1.apk2025-01-26 21:15 111K 
[   ]android-file-transfer-dev-4.3-r1.apk2025-01-26 21:15 1.5K 
[   ]android-file-transfer-libs-4.3-r1.apk2025-01-26 21:15 126K 
[   ]angband-4.2.5-r0.apk2024-10-25 22:42 23M 
[   ]ansible-bender-0.10.1-r2.apk2025-01-16 00:35 35K 
[   ]ansible-bender-doc-0.10.1-r2.apk2025-01-16 00:35 9.8K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2025-01-16 00:35 64K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 22:42 4.7K 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 22:42 3.0K 
[   ]antibody-6.1.1-r27.apk2025-04-12 08:13 1.7M 
[   ]antimicrox-3.4.0-r0.apk2024-10-25 22:42 1.6M 
[   ]antimicrox-doc-3.4.0-r0.apk2024-10-25 22:42 23K 
[   ]anubis-1.17.1-r1.apk2025-05-04 00:39 4.3M 
[   ]anubis-doc-1.17.1-r1.apk2025-05-04 00:39 2.3K 
[   ]anubis-openrc-1.17.1-r1.apk2025-05-04 00:39 1.8K 
[   ]aoetools-37-r2.apk2025-01-14 18:05 22K 
[   ]aoetools-doc-37-r2.apk2025-01-14 18:05 14K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 22:42 61K 
[   ]apache-mod-auth-openidc-2.4.16.11-r0.apk2025-04-20 07:18 222K 
[   ]apache-mod-auth-openidc-doc-2.4.16.11-r0.apk2025-04-20 07:18 4.4K 
[   ]apache-mod-auth-openidc-static-2.4.16.11-r0.apk2025-04-20 07:18 490K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 22:42 8.0K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 22:42 10K 
[   ]apache2-mod-perl-2.0.13-r1.apk2024-10-25 22:42 679K 
[   ]apache2-mod-perl-dbg-2.0.13-r1.apk2024-10-25 22:42 64K 
[   ]apache2-mod-perl-dev-2.0.13-r1.apk2024-10-25 22:42 40K 
[   ]apache2-mod-perl-doc-2.0.13-r1.apk2024-10-25 22:42 303K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 22:42 4.8K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-17 13:51 13K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-17 13:51 7.0K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 22:42 6.6K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 22:42 20K 
[   ]apk-tools3-3.0.0_rc4_git20250320-r0.apk2025-03-24 12:33 56K 
[   ]apk-tools3-dbg-3.0.0_rc4_git20250320-r0.apk2025-03-24 12:33 669K 
[   ]apk-tools3-dev-3.0.0_rc4_git20250320-r0.apk2025-03-24 12:33 24K 
[   ]apk-tools3-doc-3.0.0_rc4_git20250320-r0.apk2025-03-24 12:33 44K 
[   ]apk-tools3-static-3.0.0_rc4_git20250320-r0.apk2025-03-24 12:33 1.9M 
[   ]aports-glmr-0.2-r27.apk2025-04-12 08:13 2.5M 
[   ]appcenter-8.0.0-r0.apk2024-11-12 23:02 403K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 23:02 258K 
[   ]aprilsh-0.7.12-r4.apk2025-04-12 08:13 1.6K 
[   ]aprilsh-client-0.7.12-r4.apk2025-04-12 08:13 3.1M 
[   ]aprilsh-doc-0.7.12-r4.apk2025-04-12 08:13 14K 
[   ]aprilsh-openrc-0.7.12-r4.apk2025-04-12 08:13 1.8K 
[   ]aprilsh-server-0.7.12-r4.apk2025-04-12 08:13 2.4M 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 22:42 58K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 22:42 9.9K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 22:42 13K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 22:42 9.4KMirrors of several sites
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 22:42 3.7KMirrors of several sites
[   ]aptdec-1.8.0-r1.apk2025-02-12 15:28 86K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-12 15:28 3.4K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-12 15:28 16K 
[   ]apulse-0.1.13-r2.apk2024-10-25 22:42 41K 
[   ]apulse-doc-0.1.13-r2.apk2024-10-25 22:42 2.8K 
[   ]aqemu-0.9.4-r3.apk2024-10-25 22:42 1.6M 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 22:42 7.5K 
[   ]arc-20221218-r0.apk2024-10-25 22:42 1.7K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 22:42 68K 
[   ]arc-dark-20221218-r0.apk2024-10-25 22:42 1.7K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 22:42 68K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 22:42 27K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 22:42 38K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 22:42 93K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 22:42 86K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 22:42 17K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 22:42 7.8K 
[   ]arc-darker-20221218-r0.apk2024-10-25 22:42 1.7K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 22:42 38K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 22:42 124K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 22:42 110K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 22:42 17K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 22:42 7.8K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 22:42 29K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 22:42 38K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 22:42 126K 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 22:42 113K 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 22:42 4.4M 
[   ]arc-lighter-20221218-r0.apk2024-10-25 22:42 1.7K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 22:42 38K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 22:42 125K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 22:42 113K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 22:42 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 22:42 7.7K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 22:42 17K 
[   ]arc-theme-20221218-r0.apk2024-10-25 22:42 1.4K 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 22:42 7.7K 
[   ]argocd-2.14.9-r0.apk2025-04-20 22:49 36M 
[   ]argocd-bash-completion-2.14.9-r0.apk2025-04-20 22:49 21K 
[   ]argocd-doc-2.14.9-r0.apk2025-04-20 22:49 5.5K 
[   ]argocd-zsh-completion-2.14.9-r0.apk2025-04-20 22:49 4.0K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 22:42 1.6M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 22:42 92K 
[   ]asdf-0.16.7-r1.apk2025-04-12 08:13 4.6M 
[   ]asdf-doc-0.16.7-r1.apk2025-04-12 08:13 2.2K 
[   ]aspell-es-1.11-r0.apk2024-10-25 22:42 533K 
[   ]atac-0.18.1-r0.apk2024-11-25 22:46 4.8M 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 22:42 385K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 22:42 79K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 22:42 69K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 22:42 117K 
[   ]atool-0.39.0-r4.apk2024-10-25 22:42 18K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 22:42 2.0K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 22:42 9.6K 
[   ]aufs-util-20161219-r3.apk2024-10-25 22:42 244K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 22:42 1.5K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 22:42 34K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 22:42 5.7K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 22:42 35K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 22:42 12K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 22:42 54K 
[   ]autorestic-1.8.3-r4.apk2025-04-12 08:13 3.6M 
[   ]autotrash-0.4.7-r0.apk2024-10-25 22:42 23K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 22:42 14K 
[   ]avahi2dns-0.1.0-r0.apk2025-05-07 10:08 2.4M 
[   ]avahi2dns-openrc-0.1.0-r0.apk2025-05-07 10:08 1.8K 
[   ]avara-0.7.1-r1.apk2024-11-04 09:52 21M 
[   ]avarice-2.14-r4.apk2024-10-25 22:42 101K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 22:42 9.4K 
[   ]avra-1.4.2-r0.apk2024-10-25 22:42 41K 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 22:42 255K 
[   ]aws-lc-1.51.2-r0.apk2025-05-08 12:45 972K 
[   ]aws-lc-dev-1.51.2-r0.apk2025-05-08 12:45 413K 
[   ]aws-lc-tools-1.51.2-r0.apk2025-05-08 12:45 131K 
[   ]azote-1.14.0-r0.apk2024-12-14 22:14 7.6M 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 22:14 98K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 12:10 857K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 12:10 42K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 22:42 1.1M 
[   ]b2-tools-4.3.2-r0.apk2025-05-03 11:23 72K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-03 11:23 136K 
[   ]b2sum-20190729-r2.apk2024-10-25 22:42 15K 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 22:42 2.7K 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 22:42 55K 
[   ]baikal-0.10.1-r0.apk2024-11-10 20:26 1.2M 
[   ]baikal-mysql-0.10.1-r0.apk2024-11-10 20:26 1.2K 
[   ]baikal-pgsql-0.10.1-r0.apk2024-11-10 20:26 1.2K 
[   ]baikal-sqlite-0.10.1-r0.apk2024-11-10 20:26 1.4K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 22:42 37K 
[   ]bananui-2.0.0-r0.apk2024-10-25 22:42 57K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 22:42 6.9K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 22:42 45K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 22:42 177K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 22:42 9.1K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 22:42 182K 
[   ]bananui-shell-0.2.0-r0.apk2024-10-25 22:42 107K 
[   ]baresip-3.20.0-r0.apk2025-02-25 13:48 1.1M 
[   ]baresip-dev-3.20.0-r0.apk2025-02-25 13:48 16K 
[   ]barman-3.13.0-r0.apk2025-02-25 08:30 345K 
[   ]barman-bash-completion-3.13.0-r0.apk2025-02-25 08:30 1.6K 
[   ]barman-doc-3.13.0-r0.apk2025-02-25 08:30 80K 
[   ]barman-pyc-3.13.0-r0.apk2025-02-25 08:30 550K 
[   ]barrier-2.4.0-r2.apk2025-02-17 13:08 958K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-17 13:08 13K 
[   ]bartib-1.0.1-r1.apk2024-10-25 22:42 397K 
[   ]base64c-0.2.1-r0.apk2024-10-25 22:42 4.3K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 22:42 5.8K 
[   ]bash-pinyin-completion-rs-0.2.3-r0.apk2025-04-30 19:49 618K 
[   ]bash-pinyin-completion-rs-doc-0.2.3-r0.apk2025-04-30 19:49 14K 
[   ]batmon-0.0.1-r0.apk2024-10-25 22:42 458K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 22:42 36K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 22:42 3.7K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 22:42 7.2K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 22:42 3.0K 
[   ]bdfr-2.6.2-r1.apk2024-10-25 22:42 131K 
[   ]beancount-language-server-1.3.6-r0.apk2024-11-06 08:22 1.3M 
[   ]beard-0.4-r0.apk2024-10-25 22:42 3.1K 
[   ]beard-doc-0.4-r0.apk2024-10-25 22:42 2.5K 
[   ]bees-0.10-r2.apk2024-10-25 22:42 293K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 22:42 1.9K 
[   ]belcard-5.3.105-r0.apk2025-02-25 13:48 16K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 13:48 11K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 13:48 215K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 13:54 687K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 13:54 54K 
[   ]belr-5.3.105-r0.apk2025-02-25 13:55 113K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 13:55 15K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 22:42 122K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 22:42 22K 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 22:42 1.9K 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 22:42 18M 
[   ]bettercap-2.41.0-r0.apk2025-04-12 15:03 19M 
[   ]bettercap-doc-2.41.0-r0.apk2025-04-12 15:03 14K 
[   ]bgpq4-1.15-r0.apk2024-10-25 22:42 33K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 22:42 6.3K 
[   ]bgs-0.8-r1.apk2024-10-25 22:42 5.4K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 22:42 2.3K 
[   ]bindfs-1.17.7-r0.apk2025-01-03 16:52 22K 
[   ]bindfs-doc-1.17.7-r0.apk2025-01-03 16:52 9.0K 
[   ]binwalk-3.1.0-r0.apk2025-02-12 15:28 1.0M 
[   ]biometryd-0.3.1-r7.apk2025-02-17 13:08 315K 
[   ]biometryd-dev-0.3.1-r7.apk2025-02-17 13:08 13K 
[   ]bird3-3.1.0-r0.apk2025-04-12 09:41 591K 
[   ]bird3-dbg-3.1.0-r0.apk2025-04-12 09:41 2.2M 
[   ]bird3-openrc-3.1.0-r0.apk2025-04-12 09:41 2.4K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 22:42 403K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 22:42 57K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 22:42 49K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 22:42 16K 
[   ]blip-0.10-r0.apk2024-10-25 22:42 16K 
[   ]blip-doc-0.10-r0.apk2024-10-25 22:42 30K 
[   ]bliss-0.77-r1.apk2024-10-25 22:42 76K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 22:42 188K 
[   ]boa-cli-0.20-r0.apk2025-01-10 13:55 7.2M 
[   ]bobcat-4.09.00-r0.apk2024-10-25 22:42 663K 
[   ]bobcat-dev-4.09.00-r0.apk2024-10-25 22:42 1.0M 
[   ]bobcat-doc-4.09.00-r0.apk2024-10-25 22:42 345K 
[   ]bochs-2.8-r1.apk2025-02-20 19:30 951K 
[   ]bochs-doc-2.8-r1.apk2025-02-20 19:30 139K 
[   ]boinc-7.24.3-r0.apk2024-10-25 22:42 1.5M 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 22:42 596K 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 22:42 8.0K 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 22:42 1.0M 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 22:42 877K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 22:42 200K 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 22:42 127K 
[   ]bomctl-0.1.9-r5.apk2025-04-12 08:13 9.0M 
[   ]bomctl-bash-completion-0.1.9-r5.apk2025-04-12 08:13 5.1K 
[   ]bomctl-fish-completion-0.1.9-r5.apk2025-04-12 08:13 4.3K 
[   ]bomctl-zsh-completion-0.1.9-r5.apk2025-04-12 08:13 4.1K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 22:42 650K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 16:52 137K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 22:42 19K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 22:42 8.2K 
[   ]bootloose-0.7.1-r10.apk2025-04-12 08:13 2.1M 
[   ]bootterm-0.5-r0.apk2024-10-25 22:42 20K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 22:42 2.3K 
[   ]boson-0_git20211219-r0.apk2024-10-25 22:42 18K 
[   ]boxed-cpp-1.4.3-r0.apk2024-10-25 22:42 1.2K 
[   ]boxed-cpp-dev-1.4.3-r0.apk2024-10-25 22:42 6.2K 
[   ]boxed-cpp-doc-1.4.3-r0.apk2024-10-25 22:42 5.4K 
[   ]boxes-2.3.1-r0.apk2024-10-25 22:42 80K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 22:42 7.1K 
[   ]brial-1.2.11-r4.apk2024-10-25 22:42 1.0M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 22:42 2.2M 
[   ]brltty-6.7-r1.apk2025-03-29 10:54 2.3M 
[   ]brltty-dev-6.7-r1.apk2025-03-29 10:54 140K 
[   ]brltty-doc-6.7-r1.apk2025-03-29 10:54 9.4K 
[   ]brltty-lang-6.7-r1.apk2025-03-29 10:54 149K 
[   ]brltty-static-6.7-r1.apk2025-03-29 10:54 38K 
[   ]btcd-0.24.0-r7.apk2025-04-12 08:14 15M 
[   ]btfs-2.24-r12.apk2024-10-25 22:42 31K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 22:42 2.4K 
[   ]btpd-0.16-r2.apk2024-10-25 22:42 82K 
[   ]btpd-doc-0.16-r2.apk2024-10-25 22:42 8.4K 
[   ]buf-1.50.1-r1.apk2025-04-12 08:14 13M 
[   ]buf-bash-completion-1.50.1-r1.apk2025-04-12 08:14 8.6K 
[   ]buf-fish-completion-1.50.1-r1.apk2025-04-12 08:14 4.3K 
[   ]buf-protoc-plugins-1.50.1-r1.apk2025-04-12 08:14 12M 
[   ]buf-zsh-completion-1.50.1-r1.apk2025-04-12 08:14 4.0K 
[   ]build-next-21.0.0_pre20250504-r0.apk2025-05-05 13:56 1.6K 
[   ]build-next-bfd-21.0.0_pre20250504-r0.apk2025-05-05 13:56 665K 
[   ]build-next-gas-21.0.0_pre20250504-r0.apk2025-05-05 13:56 611K 
[   ]build-next-overlay-21.0.0_pre20250504-r0.apk2025-05-05 13:56 2.9K 
[   ]buildcache-0.28.9-r0.apk2024-10-25 22:42 800K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 22:42 21K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 22:42 29K 
[   ]burp-3.1.4-r0.apk2024-10-25 22:42 168K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 22:42 99K 
[   ]burp-server-3.1.4-r0.apk2024-10-25 22:42 36K 
[   ]butane-0.22.0-r4.apk2025-04-12 08:14 2.9M 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 22:42 15K 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 22:42 2.5K 
[   ]cadence-0.9.2-r0.apk2024-10-25 22:42 1.9M 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 22:42 100K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 22:42 3.1K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 22:42 34K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-25 22:42 25K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-14 12:08 43K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-14 12:08 37K 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 22:42 4.5K 
[   ]cargo-credential-oo7-0.4.3-r1.apk2025-04-20 22:47 795K 
[   ]cargo-crev-0.26.3-r0.apk2025-03-02 17:18 6.2M 
[   ]cargo-expand-1.0.106-r0.apk2025-04-07 22:03 2.3M 
[   ]cargo-expand-doc-1.0.106-r0.apk2025-04-07 22:03 5.7K 
[   ]cargo-flamegraph-0.6.8-r0.apk2025-04-29 07:33 1.2M 
[   ]cargo-flamegraph-bash-completion-0.6.8-r0.apk2025-04-29 07:33 2.4K 
[   ]cargo-flamegraph-doc-0.6.8-r0.apk2025-04-29 07:33 15K 
[   ]cargo-flamegraph-fish-completion-0.6.8-r0.apk2025-04-29 07:33 2.6K 
[   ]cargo-flamegraph-zsh-completion-0.6.8-r0.apk2025-04-29 07:33 2.9K 
[   ]cargo-generate-0.22.1-r0.apk2025-02-24 16:56 2.3M 
[   ]cargo-show-asm-0.2.49-r0.apk2025-04-13 12:44 868K 
[   ]cargo-show-asm-doc-0.2.49-r0.apk2025-04-13 12:44 10K 
[   ]cargo-shuttle-0.54.0-r0.apk2025-05-09 23:40 4.3M 
[   ]cargo-shuttle-bash-completion-0.54.0-r0.apk2025-05-09 23:40 4.8K 
[   ]cargo-shuttle-doc-0.54.0-r0.apk2025-05-09 23:40 8.8K 
[   ]cargo-shuttle-fish-completion-0.54.0-r0.apk2025-05-09 23:40 8.2K 
[   ]cargo-shuttle-zsh-completion-0.54.0-r0.apk2025-05-09 23:40 7.7K 
[   ]cargo-sort-1.1.0-r0.apk2025-03-23 14:58 423K 
[   ]cargo-sqlx-0.8.5-r0.apk2025-04-17 22:30 1.8M 
[   ]cargo-udeps-0.1.55-r1.apk2025-02-24 16:56 4.9M 
[   ]cargo-udeps-doc-0.1.55-r1.apk2025-02-24 16:56 7.4K 
[   ]cargo-update-16.2.1-r0.apk2025-03-23 14:29 1.1M 
[   ]cargo-update-doc-16.2.1-r0.apk2025-03-23 14:29 8.3K 
[   ]castero-0.9.5-r3.apk2024-10-25 22:42 52K 
[   ]castero-pyc-0.9.5-r3.apk2024-10-25 22:42 95K 
[   ]cataclysm-dda-0h-r0.apk2025-03-20 06:44 19M 
[   ]cataclysm-dda-curses-0h-r0.apk2025-03-20 06:44 12M 
[   ]cataclysm-dda-doc-0h-r0.apk2025-03-20 06:44 4.6K 
[   ]cataclysm-dda-lang-0h-r0.apk2025-03-20 06:44 38M 
[   ]cataclysm-dda-tiles-0h-r0.apk2025-03-20 06:44 49M 
[   ]catcodec-1.0.5-r2.apk2024-10-25 22:42 15K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 22:42 5.1K 
[   ]catdoc-0.95-r1.apk2024-10-25 22:42 111K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 22:42 9.2K 
[   ]catfish-4.20.0-r0.apk2024-12-27 11:39 126K 
[   ]catfish-doc-4.20.0-r0.apk2024-12-27 11:39 13K 
[   ]catfish-lang-4.20.0-r0.apk2024-12-27 11:39 162K 
[   ]catfish-pyc-4.20.0-r0.apk2024-12-27 11:39 101K 
[   ]cava-0.10.4-r1.apk2025-02-17 23:29 44K 
[   ]cbqn-0.9.0-r0.apk2025-03-25 16:33 774K 
[   ]cc65-2.19-r0.apk2024-10-25 22:42 8.9M 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 22:42 93K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 22:42 53K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 22:42 31K 
[   ]ccze-0.2.1-r1.apk2024-10-25 22:42 51K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 22:42 3.3K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 22:42 8.8K 
[   ]cdba-1.0-r2.apk2024-10-25 22:42 7.8K 
[   ]cdba-server-1.0-r2.apk2024-10-25 22:42 22K 
[   ]cddlib-0.94m-r2.apk2024-10-25 22:42 193K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 22:42 14K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 22:42 864K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 22:42 473K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 22:42 38K 
[   ]cdist-7.0.0-r6.apk2024-10-25 22:42 511K 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 22:42 127K 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 22:42 33M 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-28 00:08 9.3K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-28 00:08 4.2K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-10-25 22:42 8.8K 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-10-25 22:42 3.9K 
[   ]certigo-1.16.0-r22.apk2025-04-12 08:14 3.6M 
[   ]certstrap-1.3.0-r23.apk2025-04-12 08:14 2.2M 
[   ]cfssl-1.6.5-r4.apk2025-04-12 08:14 28M 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 22:42 133K 
[   ]cgo-0.6.1-r1.apk2024-10-25 22:42 10K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 22:42 4.1K 
[   ]charls-2.4.2-r0.apk2024-10-25 22:42 69K 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 22:42 27K 
[   ]chasquid-1.15.0-r3.apk2025-04-12 08:14 11M 
[   ]chasquid-doc-1.15.0-r3.apk2025-04-12 08:14 15K 
[   ]chasquid-openrc-1.15.0-r3.apk2025-04-12 08:14 1.9K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 22:42 369K 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 22:42 4.1K 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 18:41 2.7M 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 18:41 2.1K 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 18:41 859K 
[   ]chicago95-3.0.1_git20240619-r0.apk2024-10-25 22:42 481K 
[   ]chicago95-fonts-3.0.1_git20240619-r0.apk2024-10-25 22:42 215K 
[   ]chicago95-icons-3.0.1_git20240619-r0.apk2024-10-25 22:43 12M 
[   ]chocolate-doom-3.1.0-r0.apk2024-10-25 22:43 1.7M 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-10-25 22:43 231K 
[   ]cilium-cli-0.16.13-r4.apk2025-04-12 08:14 53M 
[   ]cilium-cli-bash-completion-0.16.13-r4.apk2025-04-12 08:14 5.1K 
[   ]cilium-cli-fish-completion-0.16.13-r4.apk2025-04-12 08:14 4.3K 
[   ]cilium-cli-zsh-completion-0.16.13-r4.apk2025-04-12 08:14 4.0K 
[   ]cimg-3.4.1-r0.apk2024-10-25 22:43 826K 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 22:43 20K 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 22:43 1.1M 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 22:43 18K 
[   ]ckb-next-0.6.2-r0.apk2025-03-19 12:45 1.4M 
[   ]ckb-next-daemon-0.6.2-r0.apk2025-03-19 12:45 78K 
[   ]ckb-next-daemon-openrc-0.6.2-r0.apk2025-03-19 12:45 1.8K 
[   ]ckb-next-dev-0.6.2-r0.apk2025-03-19 12:45 4.9K 
[   ]clang-next-21.0.0_pre20250504-r0.apk2025-05-05 13:56 9.2M 
[   ]clang-next-ccache-21.0.0_pre20250504-r0.apk2025-05-05 13:56 1.7K 
[   ]clang-next-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:56 3.7M 
[   ]clang-next-headers-21.0.0_pre20250504-r0.apk2025-05-05 13:56 1.0M 
[   ]clang-next-libclang-21.0.0_pre20250504-r0.apk2025-05-05 13:56 9.2M 
[   ]clang-next-libs-21.0.0_pre20250504-r0.apk2025-05-05 13:56 16M 
[   ]clang-next-rtlib-21.0.0_pre20250504-r0.apk2025-05-05 13:56 9.7M 
[   ]clang-next-rtlib-atomic-21.0.0_pre20250504-r0.apk2025-05-05 13:56 8.4K 
[   ]clang-next-rtlib-scudo-21.0.0_pre20250504-r0.apk2025-05-05 13:56 37K 
[   ]clang-next-static-21.0.0_pre20250504-r0.apk2025-05-05 13:57 33M 
[   ]clatd-1.6-r0.apk2024-10-25 22:43 13K 
[   ]clementine-1.4.1_git20250121-r2.apk2025-04-11 20:27 6.2M 
[   ]clevis-21-r0.apk2025-04-02 21:57 56K 
[   ]clevis-bash-completion-21-r0.apk2025-04-02 21:57 2.0K 
[   ]clevis-dbg-21-r0.apk2025-04-02 21:57 65K 
[   ]clevis-doc-21-r0.apk2025-04-02 21:57 23K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2025-04-02 21:57 4.5K 
[   ]click-0.5.2-r4.apk2025-02-17 13:08 158K 
[   ]click-dev-0.5.2-r4.apk2025-02-17 13:08 9.1K 
[   ]click-doc-0.5.2-r4.apk2025-02-17 13:08 3.3K 
[   ]click-pyc-0.5.2-r4.apk2025-02-17 13:08 175K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 22:43 46K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 22:43 6.4K 
[   ]cliphist-0.6.1-r4.apk2025-04-12 08:14 922K 
[   ]cliphist-fzf-0.6.1-r4.apk2025-04-12 08:14 1.8K 
[   ]clipit-1.4.5-r3.apk2024-10-25 22:43 66K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 22:43 2.4K 
[   ]cliquer-1.22-r2.apk2024-10-25 22:43 7.1K 
[   ]cliquer-dev-1.22-r2.apk2024-10-25 22:43 7.4K 
[   ]cliquer-libs-1.22-r2.apk2024-10-25 22:43 26K 
[   ]cliquer-static-1.22-r2.apk2024-10-25 22:43 50K 
[   ]cliquer-tests-1.22-r2.apk2024-10-25 22:43 24K 
[   ]cln-1.3.7-r0.apk2024-10-25 22:43 461K 
[   ]cln-dev-1.3.7-r0.apk2024-10-25 22:43 2.1M 
[   ]cln-doc-1.3.7-r0.apk2024-10-25 22:43 78K 
[   ]cloudfoundry-cli-8.7.9-r8.apk2025-04-12 08:14 8.3M 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 22:43 268K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 22:43 2.1M 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 22:43 33K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 22:43 114K 
[   ]cmusfm-0.5.0-r0.apk2024-10-25 22:43 14K 
[   ]cocogitto-6.3.0-r0.apk2025-03-21 20:29 1.9M 
[   ]cocogitto-bash-completion-6.3.0-r0.apk2025-03-21 20:29 3.0K 
[   ]cocogitto-doc-6.3.0-r0.apk2025-03-21 20:29 38K 
[   ]cocogitto-fish-completion-6.3.0-r0.apk2025-03-21 20:29 3.3K 
[   ]cocogitto-zsh-completion-6.3.0-r0.apk2025-03-21 20:29 3.0K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 20:37 365K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 20:37 8.0K 
[   ]codeberg-cli-0.4.11-r0.apk2025-05-04 00:39 1.9M 
[   ]codeberg-cli-bash-completion-0.4.11-r0.apk2025-05-04 00:39 5.0K 
[   ]codeberg-cli-fish-completion-0.4.11-r0.apk2025-05-04 00:39 4.8K 
[   ]codeberg-cli-zsh-completion-0.4.11-r0.apk2025-05-04 00:39 6.8K 
[   ]coin-4.0.3-r0.apk2024-12-13 21:30 3.0M 
[   ]coin-dev-4.0.3-r0.apk2024-12-13 21:30 326K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 22:43 4.3K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 22:43 2.9K 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 22:43 4.0K 
[   ]comics-downloader-0.33.8-r9.apk2025-04-12 08:14 3.7M 
[   ]comics-downloader-gui-0.33.8-r9.apk2025-04-12 08:14 5.4M 
[   ]commit-lsp-0.1.0-r0.apk2025-05-08 12:45 2.0M 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 22:43 286K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 22:43 173K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 22:43 15K 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 22:43 45K 
[   ]compiz-0.9.14.2-r9.apk2025-04-11 20:27 6.1M 
[   ]compiz-dev-0.9.14.2-r9.apk2025-04-11 20:27 117K 
[   ]compiz-lang-0.9.14.2-r9.apk2025-04-11 20:27 1.2M 
[   ]compiz-pyc-0.9.14.2-r9.apk2025-04-11 20:27 112K 
[   ]compiz-utils-0.9.14.2-r9.apk2025-04-11 20:27 3.3K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 22:43 9.3K 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 22:43 4.8K 
[   ]consul-replicate-0.4.0-r30.apk2025-04-12 08:14 2.8M 
[   ]contractor-0.3.5-r0.apk2024-11-12 23:02 27K 
[   ]convert2json-2.1.0-r1.apk2025-04-22 23:43 2.7M 
[   ]convert2json-doc-2.1.0-r1.apk2025-04-22 23:43 11K 
[   ]copyq-9.1.0-r1.apk2024-12-12 18:45 2.6M 
[   ]copyq-bash-completion-9.1.0-r1.apk2024-12-12 18:45 2.2K 
[   ]copyq-doc-9.1.0-r1.apk2024-12-12 18:45 3.4K 
[   ]cortex-tenant-1.15.2-r5.apk2025-04-12 08:14 4.0M 
[   ]cortex-tenant-openrc-1.15.2-r5.apk2025-04-12 08:14 2.0K 
[   ]cosmic-icons-1.0.0_alpha7-r0.apk2025-04-27 15:39 231K 
[   ]cowsay-3.04-r2.apk2024-10-25 22:43 18K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 22:43 4.0K 
[   ]coxeter-3.0-r1.apk2024-10-25 22:43 48K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 22:43 57K 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 22:43 318K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 22:43 7.1K 
[   ]cpplint-2.0.2-r0.apk2025-04-14 02:03 80K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-14 02:03 99K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 22:43 33K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 22:43 48K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 22:43 2.9K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 22:43 31K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 22:43 8.7K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 22:43 88K 
[   ]crispy-doom-7.0-r0.apk2024-10-25 22:43 1.9M 
[   ]crispy-doom-doc-7.0-r0.apk2024-10-25 22:43 107K 
[   ]critcl-3.3.1-r0.apk2024-10-25 22:43 434K 
[   ]critcl-doc-3.3.1-r0.apk2024-10-25 22:43 787K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 22:43 30K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 22:43 39K 
[   ]crowdsec-1.6.8-r1.apk2025-04-12 08:14 33M 
[   ]crowdsec-email-plugin-1.6.8-r1.apk2025-04-12 08:14 8.3M 
[   ]crowdsec-http-plugin-1.6.8-r1.apk2025-04-12 08:14 8.3M 
[   ]crowdsec-openrc-1.6.8-r1.apk2025-04-12 08:14 1.8K 
[   ]crowdsec-sentinel-plugin-1.6.8-r1.apk2025-04-12 08:14 8.3M 
[   ]crowdsec-slack-plugin-1.6.8-r1.apk2025-04-12 08:14 8.3M 
[   ]crowdsec-splunk-plugin-1.6.8-r1.apk2025-04-12 08:14 8.3M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 12:55 1.1M 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 12:55 13K 
[   ]cscope-15.9-r1.apk2024-10-25 22:43 152K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 22:43 7.5K 
[   ]csfml-2.5.2-r0.apk2024-10-25 22:43 94K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 22:43 77K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 22:43 218K 
[   ]csmith-2.3.0-r2.apk2024-10-25 22:43 315K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 22:43 3.1K 
[   ]csol-1.6.0-r0.apk2024-10-25 22:43 38K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 22:43 3.8K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 22:43 86K 
[   ]cups-pdf-3.0.1-r2.apk2024-10-25 22:43 21K 
[   ]cura-5.2.2-r1.apk2024-10-25 22:43 42M 
[   ]cura-lang-5.2.2-r1.apk2024-10-25 22:43 4.1M 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 22:43 23K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 22:43 6.1K 
[   ]curtail-1.11.1-r0.apk2024-10-25 22:43 27K 
[   ]curtail-lang-1.11.1-r0.apk2024-10-25 22:43 66K 
[   ]cutechess-1.3.1-r0.apk2024-10-25 22:43 1.1M 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 22:43 353K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 22:43 6.6K 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 22:43 3.5K 
[   ]cvise-2.11.0-r0.apk2025-03-08 14:14 4.9M 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-08 14:14 60K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 22:43 48K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 22:43 17K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 22:43 8.6K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 22:43 6.7K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 22:43 2.3K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 22:43 9.7K 
[   ]daemontools-0.76-r3.apk2024-10-25 22:43 67K 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 22:43 2.0K 
[   ]darts-clone-0_git20181117-r0.apk2024-10-25 22:43 40K 
[   ]darts-clone-dev-0_git20181117-r0.apk2024-10-25 22:43 13K 
[   ]dasht-2.4.0-r0.apk2024-10-25 22:43 14K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 22:43 11K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 22:43 2.1K 
[   ]davmail-6.3.0-r0.apk2025-04-17 19:47 9.4M 
[   ]dbmate-2.26.0-r1.apk2025-04-12 08:14 11M 
[   ]dbmate-doc-2.26.0-r1.apk2025-04-12 08:14 2.3K 
[   ]dbus-broker-36-r0.apk2024-10-25 22:43 85K 
[   ]dbus-broker-doc-36-r0.apk2024-10-25 22:43 5.8K 
[   ]dcmtk-3.6.9-r0.apk2025-04-08 00:07 1.3M 
[   ]dcmtk-dev-3.6.9-r0.apk2025-04-08 00:07 1.6M 
[   ]dcmtk-doc-3.6.9-r0.apk2025-04-08 00:07 257K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-04-08 00:07 1.7K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 22:43 28K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 22:43 6.6K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 22:43 62K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-19 12:45 19K 
[   ]ddgr-2.2-r0.apk2024-10-25 22:43 20K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 22:43 2.2K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 22:43 12K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 22:43 2.3K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 22:43 2.7K 
[   ]ddnrs-0.3.0-r0.apk2024-10-25 22:43 1.0M 
[   ]ddnrs-openrc-0.3.0-r0.apk2024-10-25 22:43 2.0K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 22:43 12K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 22:43 6.3K 
[   ]debconf-1.5.82-r0.apk2024-10-25 22:43 69K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 22:43 1.8K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 22:43 24K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 22:43 132K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 22:43 6.6K 
[   ]decoder-0.7.0-r0.apk2025-04-10 15:54 2.0M 
[   ]decoder-lang-0.7.0-r0.apk2025-04-10 15:54 59K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 22:43 26K 
[   ]desed-1.2.1-r1.apk2024-10-25 22:43 425K 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 22:43 2.9K 
[   ]desync-0.9.6-r4.apk2025-04-12 08:14 7.4M 
[   ]detox-2.0.0-r0.apk2024-10-25 22:43 116K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 22:43 21K 
[   ]devil-1.8.0-r0.apk2024-10-25 22:43 266K 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 22:43 13K 
[   ]dfl-applications-0.2.0-r0.apk2024-10-25 22:43 33K 
[   ]dfl-applications-dev-0.2.0-r0.apk2024-10-25 22:43 4.1K 
[   ]dfl-ipc-0.2.0-r0.apk2024-10-25 22:43 20K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2024-10-25 22:43 3.6K 
[   ]dfl-login1-0.2.0-r0.apk2024-10-25 22:43 17K 
[   ]dfl-login1-dev-0.2.0-r0.apk2024-10-25 22:43 3.4K 
[   ]dfl-sni-0.2.0-r0.apk2024-10-25 22:43 31K 
[   ]dfl-sni-dev-0.2.0-r0.apk2024-10-25 22:43 4.1K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 22:43 35K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 22:43 2.8K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 22:43 5.7K 
[   ]dhewm3-1.5.4-r0.apk2025-02-17 13:08 5.2M 
[   ]diceware-1.0.1-r0.apk2025-01-13 23:49 334K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 23:49 18K 
[   ]disfetch-3.7-r0.apk2024-10-25 22:43 8.3K 
[   ]dislocker-0.7.3-r5.apk2024-10-25 22:43 13K 
[   ]dislocker-doc-0.7.3-r5.apk2024-10-25 22:43 6.0K 
[   ]dislocker-libs-0.7.3-r5.apk2024-10-25 22:43 45K 
[   ]dive-0.13.0-r1.apk2025-04-12 08:14 3.7M 
[   ]dlib-19.24.4-r0.apk2024-10-25 22:43 775K 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 22:43 2.4M 
[   ]dmarc-cat-0.15.0-r4.apk2025-04-12 08:14 2.7M 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 22:58 25K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 22:58 1.9K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 22:58 46K 
[   ]dnote-0.15.1-r4.apk2025-04-12 08:14 4.4M 
[   ]dnote-bash-completion-0.15.1-r4.apk2025-04-12 08:14 2.1K 
[   ]dnote-doc-0.15.1-r4.apk2025-04-12 08:14 15K 
[   ]dnote-zsh-completion-0.15.1-r4.apk2025-04-12 08:14 2.0K 
[   ]dnscontrol-4.19.0-r0.apk2025-05-04 00:33 15M 
[   ]dnscontrol-doc-4.19.0-r0.apk2025-05-04 00:33 2.3K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 22:43 30K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 22:43 21K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 22:43 5.2K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 22:43 72K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 22:43 35K 
[   ]dnssec-tools-2.2.3-r12.apk2024-10-25 22:43 763K 
[   ]dnssec-tools-dev-2.2.3-r12.apk2024-10-25 22:43 302K 
[   ]dnssec-tools-doc-2.2.3-r12.apk2024-10-25 22:43 315K 
[   ]doasedit-1.0.7-r0.apk2024-10-25 22:43 3.6K 
[   ]docker-auth-1.13.0-r3.apk2025-04-12 08:14 9.2M 
[   ]docker-auth-doc-1.13.0-r3.apk2025-04-12 08:14 10K 
[   ]docker-auth-openrc-1.13.0-r3.apk2025-04-12 08:14 2.1K 
[   ]docker-volume-local-persist-1.3.0-r32.apk2025-04-12 08:14 2.5M 
[   ]docker-volume-local-persist-openrc-1.3.0-r32.apk2025-04-12 08:14 1.8K 
[   ]dockerize-0.9.3-r0.apk2025-04-27 15:39 3.3M 
[   ]dodo-0_git20250313-r0.apk2025-04-24 10:41 187K 
[   ]dodo-pyc-0_git20250313-r0.apk2025-04-24 10:41 87K 
[   ]dolt-1.50.0-r2.apk2025-04-12 08:14 35M 
[   ]dooit-3.1.0-r0.apk2024-12-07 21:32 45K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 21:32 13K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 21:32 23K 
[   ]dooit-pyc-3.1.0-r0.apk2024-12-07 21:32 100K 
[   ]downloader-cli-0.3.4-r1.apk2024-10-25 22:43 2.0K 
[   ]draco-1.5.7-r2.apk2025-02-17 13:08 828K 
[   ]draco-dev-1.5.7-r2.apk2025-02-17 13:08 205K 
[   ]draco-static-1.5.7-r2.apk2025-02-17 13:08 2.5M 
[   ]draco-tools-1.5.7-r2.apk2025-02-17 13:08 1.2M 
[   ]draw-0.1.1-r12.apk2025-04-12 08:14 962K 
[   ]drawing-1.0.2-r0.apk2024-10-25 22:43 3.2M 
[   ]drawing-lang-1.0.2-r0.apk2024-10-25 22:43 272K 
[   ]drogon-1.9.4-r1.apk2024-10-25 22:43 1.5M 
[   ]drogon-dev-1.9.4-r1.apk2024-10-25 22:43 125K 
[   ]drogon-doc-1.9.4-r1.apk2024-10-25 22:43 2.3K 
[   ]droidcam-2.1.3-r1.apk2024-11-08 22:25 19K 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-08 22:25 33K 
[   ]drone-cli-1.8.0-r9.apk2025-04-12 08:14 5.7M 
[   ]dropwatch-1.5.4-r7.apk2025-02-12 15:29 17K 
[   ]dropwatch-doc-1.5.4-r7.apk2025-02-12 15:29 3.6K 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 22:43 409K 
[   ]drupal7-7.103-r0.apk2024-12-04 18:27 3.3M 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 18:27 57K 
[   ]dsnet-0.8.0-r0.apk2025-05-05 14:18 3.8M 
[   ]dsnet-doc-0.8.0-r0.apk2025-05-05 14:18 9.6K 
[   ]dsp-1.9-r2.apk2024-10-25 22:43 99K 
[   ]dsp-doc-1.9-r2.apk2024-10-25 22:43 7.2K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 22:43 46K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 22:43 2.9K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 22:43 6.9K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 22:43 2.3K 
[   ]duc-1.4.5-r0.apk2024-10-25 22:43 88K 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 22:43 9.1K 
[   ]duf-0.8.1-r25.apk2025-04-12 08:14 1.1M 
[   ]dufs-0.43.0-r0.apk2025-01-23 19:59 1.4M 
[   ]dufs-bash-completion-0.43.0-r0.apk2025-01-23 19:59 2.3K 
[   ]dufs-doc-0.43.0-r0.apk2025-01-23 19:59 10K 
[   ]dufs-fish-completion-0.43.0-r0.apk2025-01-23 19:59 2.3K 
[   ]dufs-zsh-completion-0.43.0-r0.apk2025-01-23 19:59 2.7K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 22:43 23K 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 22:43 5.1M 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 22:43 16K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 22:43 7.6K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 22:43 1.4K 
[   ]dwl-0.7-r0.apk2024-10-25 22:43 28K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 22:43 3.1K 
[   ]e16-1.0.30-r0.apk2024-11-05 14:22 797K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 14:22 27K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 14:22 380K 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 22:43 4.2K 
[   ]eboard-1.1.3-r1.apk2024-10-25 22:43 1.4M 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 22:43 4.6K 
[   ]ecasound-2.9.3-r4.apk2025-02-25 00:50 700K 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-25 00:50 1.9M 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-25 00:50 38K 
[   ]eccodes-2.41.0-r0.apk2025-05-05 18:29 11M 
[   ]eclib-20250122-r1.apk2025-05-04 20:43 348K 
[   ]eclib-dev-20250122-r1.apk2025-05-04 20:43 94K 
[   ]eclib-doc-20250122-r1.apk2025-05-04 20:43 28K 
[   ]eclib-libs-20250122-r1.apk2025-05-04 20:43 1.2M 
[   ]eclib-static-20250122-r1.apk2025-05-04 20:43 20M 
[   ]eclipse-ecj-4.34-r0.apk2025-01-19 17:51 2.5M 
[   ]ecm-7.0.5-r1.apk2024-10-25 22:43 128K 
[   ]ecm-dev-7.0.5-r1.apk2024-10-25 22:43 4.8K 
[   ]ecm-doc-7.0.5-r1.apk2024-10-25 22:43 7.2K 
[   ]ecos-2.0.10-r0.apk2024-10-25 22:43 39K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 22:43 28K 
[   ]edward-1.1.0-r0.apk2024-10-25 22:43 1.8M 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 22:43 5.3K 
[   ]efl-1.28.1-r2.apk2025-03-23 16:03 34M 
[   ]efl-dev-1.28.1-r2.apk2025-03-23 16:03 1.8M 
[   ]efl-gdb-1.28.1-r2.apk2025-03-23 16:03 1.7K 
[   ]eiwd-3.6-r0.apk2025-04-18 18:20 846K 
[   ]eiwd-doc-3.6-r0.apk2025-04-18 18:20 20K 
[   ]eiwd-openrc-3.6-r0.apk2025-04-18 18:20 1.9K 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 23:05 70K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 23:05 57K 
[   ]elementary-camera-8.0.0-r0.apk2025-03-19 14:30 85K 
[   ]elementary-camera-lang-8.0.0-r0.apk2025-03-19 14:30 34K 
[   ]elementary-feedback-8.0.0-r0.apk2024-10-27 15:17 43K 
[   ]elementary-feedback-lang-8.0.0-r0.apk2024-10-27 15:17 43K 
[   ]elementary-icon-theme-8.0.0-r0.apk2024-10-25 22:43 5.0M 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 23:06 73K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 23:06 47K 
[   ]elementary-photos-8.0.1-r0.apk2025-01-03 16:52 1.1M 
[   ]elementary-photos-lang-8.0.1-r0.apk2025-01-03 16:52 1.0M 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 23:08 83K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 21:38 1.5M 
[   ]elementary-videos-8.0.1-r0.apk2024-11-10 23:06 110K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-10 23:06 85K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 22:43 108K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 22:43 108K 
[   ]elfio-3.12-r0.apk2024-10-25 22:43 1.4K 
[   ]elfio-dev-3.12-r0.apk2024-10-25 22:43 55K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 22:43 23K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 22:43 43K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-04-23 07:43 3.8K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 22:43 55K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 22:43 14K 
[   ]emacs-cndict-0_git20220514-r0.apk2025-04-27 15:40 36M 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 22:43 138K 
[   ]emacs-derl-0_git20231004-r0.apk2024-10-25 22:43 23K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-04-23 07:14 91K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 22:43 23K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 22:43 6.1K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 22:43 5.9K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 22:43 17K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 22:43 4.3K 
[   ]emacs-embark-1.1-r0.apk2025-04-23 07:43 111K 
[   ]emacs-embark-consult-1.1-r0.apk2025-04-23 07:43 10K 
[   ]emacs-ement-0.16-r0.apk2025-04-23 07:14 291K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 22:43 37K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 22:43 15K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 22:43 62K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 22:43 16K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 22:43 815K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 22:43 9.7K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 22:43 46K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-10-25 22:43 9.9K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-12 14:21 421K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-12 14:21 2.3K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 22:43 6.6K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 22:43 29K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 22:43 17K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 22:43 19K 
[   ]emacs-taxy-0.10.2-r0.apk2025-04-23 07:43 11K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-04-23 07:43 18K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-04-30 10:20 18K 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-04-30 10:20 14K 
[   ]emulationstation-2.11.2-r1.apk2024-10-25 22:43 1.3M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-10-25 22:43 3.3M 
[   ]endeavour-43.0-r2.apk2024-12-08 22:36 189K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 22:36 46K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 22:36 68K 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 22:36 203K 
[   ]endless-sky-0.10.2-r0.apk2024-10-25 22:43 242M 
[   ]endless-sky-doc-0.10.2-r0.apk2024-10-25 22:43 37K 
[   ]endlessh-1.1-r0.apk2024-10-25 22:43 9.0K 
[   ]endlessh-doc-1.1-r0.apk2024-10-25 22:43 2.6K 
[   ]enjoy-0.3-r1.apk2024-10-25 22:43 12K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 22:43 7.0K 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 22:43 3.4K 
[   ]envconsul-0.13.3-r2.apk2025-04-12 08:14 4.7M 
[   ]envsubst-0.1-r1.apk2024-10-25 22:43 4.9K 
[   ]epoch-1.3.0-r2.apk2024-10-25 22:43 57K 
[   ]epr-2.4.15-r1.apk2024-10-25 22:44 16K 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 22:44 24K 
[   ]ergo-ldap-0.0.1-r16.apk2025-04-12 08:14 2.1M 
[   ]ergo-ldap-doc-0.0.1-r16.apk2025-04-12 08:14 2.3K 
[   ]errands-46.2.7-r0.apk2025-01-31 15:32 85K 
[   ]errands-lang-46.2.7-r0.apk2025-01-31 15:32 71K 
[   ]espeakup-0.90-r2.apk2024-10-25 22:44 12K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 22:44 1.8K 
[   ]esptool-4.8.1-r0.apk2024-10-25 22:44 424K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 22:44 549K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 22:44 570K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 22:44 45K 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 15:25 10K 
[   ]exabgp-4.2.24-r0.apk2025-03-15 23:11 385K 
[   ]exabgp-doc-4.2.24-r0.apk2025-03-15 23:11 8.0K 
[   ]exabgp-openrc-4.2.24-r0.apk2025-03-15 23:11 2.2K 
[   ]exabgp-pyc-4.2.24-r0.apk2025-03-15 23:11 778K 
[   ]exercism-3.2.0-r11.apk2025-04-12 08:14 4.0M 
[   ]exercism-bash-completion-3.2.0-r11.apk2025-04-12 08:14 2.0K 
[   ]exercism-fish-completion-3.2.0-r11.apk2025-04-12 08:14 2.4K 
[   ]exercism-zsh-completion-3.2.0-r11.apk2025-04-12 08:14 2.1K 
[   ]extrace-0.9-r0.apk2024-10-25 22:44 9.7K 
[   ]extrace-doc-0.9-r0.apk2024-10-25 22:44 3.5K 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 22:44 40M 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 22:44 6.7K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 22:44 42K 
[   ]f_scripts-0.6-r1.apk2024-10-25 22:44 1.4K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-10-25 22:44 3.3K 
[   ]f_scripts-f_files-0.6-r1.apk2024-10-25 22:44 2.9K 
[   ]f_scripts-f_game-0.6-r1.apk2024-10-25 22:44 1.9K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-10-25 22:44 2.1K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-10-25 22:44 3.0K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-10-25 22:44 6.0K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-10-25 22:44 2.5K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-10-25 22:44 2.6K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-10-25 22:44 2.4K 
[   ]f_scripts-f_web-0.6-r1.apk2024-10-25 22:44 2.9K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-10-25 22:44 2.8K 
[   ]fabric-3.2.2-r1.apk2024-10-25 22:44 55K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 22:44 60K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 22:44 30K 
[   ]fastd-23-r0.apk2025-01-27 22:33 74K 
[   ]fastd-doc-23-r0.apk2025-01-27 22:33 3.3K 
[   ]fastd-openrc-23-r0.apk2025-01-27 22:33 1.7K 
[   ]fatback-1.3-r2.apk2024-10-25 22:44 34K 
[   ]fatback-doc-1.3-r2.apk2024-10-25 22:44 16K 
[   ]fathom-1.3.1-r12.apk2025-04-12 08:14 4.7M 
[   ]fatrace-0.17.0-r0.apk2024-10-25 22:44 9.8K 
[   ]fatrace-doc-0.17.0-r0.apk2024-10-25 22:44 3.3K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 22:44 8.2K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 22:44 15K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 22:44 13K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 22:44 2.3K 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 22:44 3.0K 
[   ]faust-2.60.3-r2.apk2024-10-25 22:44 8.4M 
[   ]faust-dev-2.60.3-r2.apk2024-10-25 22:44 770K 
[   ]faust-doc-2.60.3-r2.apk2024-10-25 22:44 17M 
[   ]faust-static-2.60.3-r2.apk2024-10-25 22:44 936K 
[   ]faust-tools-2.60.3-r2.apk2024-10-25 22:44 118K 
[   ]faust-vim-2.60.3-r2.apk2024-10-25 22:44 2.6K 
[   ]fava-1.28-r0.apk2024-10-25 22:44 1.1M 
[   ]fava-pyc-1.28-r0.apk2024-10-25 22:44 164K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 22:44 4.6K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 22:44 2.2K 
[   ]fceux-2.6.6-r2.apk2024-10-25 22:44 2.9M 
[   ]fceux-doc-2.6.6-r2.apk2024-10-25 22:44 106K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 22:44 60K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 22:44 709K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 22:44 463K 
[   ]felix-2.16.0-r1.apk2025-03-14 00:01 630K 
[   ]ff2mpv-rust-1.1.6-r0.apk2025-03-27 07:47 214K 
[   ]ff2mpv-rust-doc-1.1.6-r0.apk2025-03-27 07:47 14K 
[   ]fff-2.2-r0.apk2024-10-25 22:44 11K 
[   ]fff-doc-2.2-r0.apk2024-10-25 22:44 9.2K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 22:44 345K 
[   ]ffms2-5.0-r0.apk2024-10-25 22:44 72K 
[   ]ffms2-dev-5.0-r0.apk2024-10-25 22:44 7.6K 
[   ]ffms2-doc-5.0-r0.apk2024-10-25 22:44 30K 
[   ]ffsend-0.2.76-r4.apk2024-10-25 22:44 1.6M 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 22:44 3.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 22:44 3.5K 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 22:44 4.5K 
[   ]fheroes2-1.1.8-r0.apk2025-05-04 20:43 1.6M 
[   ]fheroes2-lang-1.1.8-r0.apk2025-05-04 20:43 1.7M 
[   ]fildesh-0.2.0-r0.apk2024-10-25 22:44 69K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 22:44 2.1K 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 22:44 3.5K 
[   ]filebrowser-2.27.0-r10.apk2025-04-12 08:14 7.2M 
[   ]filebrowser-openrc-2.27.0-r10.apk2025-04-12 08:14 1.8K 
[   ]fileshelter-6.2.0-r3.apk2025-02-25 08:30 315K 
[   ]fileshelter-openrc-6.2.0-r3.apk2025-02-25 08:30 1.6K 
[   ]findtow-0.1-r0.apk2024-10-25 22:44 4.8K 
[   ]finger-0.5-r0.apk2024-10-25 22:44 6.6K 
[   ]finger-doc-0.5-r0.apk2024-10-25 22:44 3.8K 
[   ]firehol-3.1.7-r2.apk2024-10-25 22:44 85K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 22:44 675K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 22:44 2.0K 
[   ]flang-next-21.0.0_pre20250504-r0.apk2025-05-05 13:57 21M 
[   ]flang-next-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 842K 
[   ]flang-next-static-21.0.0_pre20250504-r0.apk2025-05-05 13:57 43M 
[   ]flann-1.9.2-r1.apk2025-02-17 13:08 1.7M 
[   ]flann-dev-1.9.2-r1.apk2025-02-17 13:08 2.3M 
[   ]flann-doc-1.9.2-r1.apk2025-02-17 13:08 2.5K 
[   ]flare-engine-1.14-r0.apk2024-10-25 22:44 4.6M 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 22:44 2.4K 
[   ]flare-game-1.14-r0.apk2024-10-25 22:44 2.2K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-10-25 22:44 19K 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 22:44 4.2K 
[   ]flawz-0.3.0-r0.apk2024-11-03 22:07 1.2M 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 22:07 2.1K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 22:07 6.0K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 22:07 1.9K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 22:07 2.2K 
[   ]flightgear-2024.1.1-r0.apk2025-03-05 01:18 11M 
[   ]flightgear-bash-completion-2024.1.1-r0.apk2025-03-05 01:18 5.5K 
[   ]flightgear-dbg-2024.1.1-r0.apk2025-03-05 01:18 21M 
[   ]flightgear-doc-2024.1.1-r0.apk2025-03-05 01:18 58K 
[   ]flightgear-zsh-completion-2024.1.1-r0.apk2025-03-05 01:18 7.3K 
[   ]flint-3.2.2-r0.apk2025-05-04 20:43 3.9M 
[   ]flint-dev-3.2.2-r0.apk2025-05-04 20:43 308K 
[   ]flintqs-1.0-r1.apk2024-10-25 22:44 22K 
[   ]flowd-0.9.1-r10.apk2024-10-25 22:44 81K 
[   ]flowd-dev-0.9.1-r10.apk2024-10-25 22:44 8.3K 
[   ]flowd-doc-0.9.1-r10.apk2024-10-25 22:44 10K 
[   ]flowd-openrc-0.9.1-r10.apk2024-10-25 22:44 1.9K 
[   ]fnf-0.1-r0.apk2024-10-25 22:44 17K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 22:44 4.6K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 22:44 331K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 22:44 8.5K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 22:44 264K 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-12 14:25 357K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 22:44 18M 
[   ]font-cascadia-2407.24-r0.apk2025-04-14 00:01 1.3K 
[   ]font-cascadia-code-2407.24-r0.apk2025-04-14 00:01 526K 
[   ]font-cascadia-mono-2407.24-r0.apk2025-04-14 00:01 507K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 22:44 792K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 22:44 626K 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 22:44 249K 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 22:44 1.0M 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 22:44 251K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 22:44 110K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 22:44 1.5K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 22:44 5.8K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 22:44 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 22:44 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 22:44 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 22:44 316K 
[   ]font-fira-4.202-r0.apk2024-10-25 22:44 1.4K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 22:44 836K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 22:44 145K 
[   ]font-fira-otf-4.202-r0.apk2024-10-25 22:44 7.4M 
[   ]font-fira-ttf-4.202-r0.apk2024-10-25 22:44 6.2M 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 22:44 118K 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 22:44 205K 
[   ]font-hanazono-20170904-r1.apk2024-10-25 22:44 29M 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 22:44 281K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 22:44 852K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 22:44 652K 
[   ]font-monaspace-1.101-r0.apk2024-10-25 22:44 1.4K 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 22:44 2.2M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 22:44 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 22:44 2.1M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 22:44 2.7M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 22:44 2.3M 
[   ]font-monocraft-4.0-r0.apk2024-10-25 22:44 677K 
[   ]font-openmoji-15.0.0-r0.apk2024-12-24 23:51 3.5M 
[   ]font-siji-20190218_git-r2.apk2024-10-25 22:44 24K 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 22:44 2.0M 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 22:44 430K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 22:44 62K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 22:44 199K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 22:44 568K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 22:44 58K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 22:44 37K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 22:44 4.1K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 22:44 1.8K 
[   ]fplll-5.5.0-r0.apk2024-11-17 05:16 48K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-17 05:16 78K 
[   ]fplll-libs-5.5.0-r0.apk2024-11-17 05:16 6.4M 
[   ]fplll-static-5.5.0-r0.apk2024-11-17 05:16 12M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-17 05:16 1.7M 
[   ]fpp-0.9.5-r0.apk2024-10-25 22:44 30K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 22:44 5.8K 
[   ]fq-0.13.0-r4.apk2025-04-12 08:14 4.2M 
[   ]freealut-1.1.0-r1.apk2024-10-25 22:44 18K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 22:44 29K 
[   ]freecad-1.0.0-r2.apk2025-02-17 13:08 108M 
[   ]freecad-dev-1.0.0-r2.apk2025-02-17 13:08 1.4M 
[   ]freecad-doc-1.0.0-r2.apk2025-02-17 13:08 13K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 22:44 8.9K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 22:44 54K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 22:44 356K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 22:44 157K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 22:44 84K 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 22:44 3.5M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 22:44 2.5K 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 22:44 1.2M 
[   ]freshrss-1.23.1-r1.apk2024-10-25 22:44 1.5M 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 22:44 751K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 22:44 379K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 22:44 1.4K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 22:44 2.5K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 22:44 1.4K 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 22:44 1.4K 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 22:44 1.5M 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 22:44 872K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 22:44 7.9K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 22:44 22K 
[   ]fungw-1.2.1-r0.apk2024-12-30 10:48 14K 
[   ]fungw-c-1.2.1-r0.apk2024-12-30 10:48 9.8K 
[   ]fungw-cli-1.2.1-r0.apk2024-12-30 10:48 36K 
[   ]fungw-dev-1.2.1-r0.apk2024-12-30 10:48 7.6K 
[   ]fungw-doc-1.2.1-r0.apk2024-12-30 10:48 13K 
[   ]fungw-duktape-1.2.1-r0.apk2024-12-30 10:48 25K 
[   ]fungw-fawk-1.2.1-r0.apk2024-12-30 10:48 213K 
[   ]fungw-lua-1.2.1-r0.apk2024-12-30 10:48 22K 
[   ]fungw-mujs-1.2.1-r0.apk2024-12-30 10:48 24K 
[   ]fungw-perl-1.2.1-r0.apk2024-12-30 10:48 68K 
[   ]fungw-python3-1.2.1-r0.apk2024-12-30 10:48 38K 
[   ]fungw-tcl-1.2.1-r0.apk2024-12-30 10:48 19K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 22:44 21K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 22:44 1.7K 
[   ]fusesoc-2.3-r0.apk2024-10-25 22:44 46K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 22:44 89K 
[   ]fuzzylite-6.0-r2.apk2025-01-31 22:55 4.1K 
[   ]fuzzylite-dev-6.0-r2.apk2025-01-31 22:55 68K 
[   ]fuzzylite-doc-6.0-r2.apk2025-01-31 22:55 2.1K 
[   ]fuzzylite-libs-6.0-r2.apk2025-01-31 22:55 353K 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 22:44 5.5M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 22:44 1.1M 
[   ]fzy-1.0-r3.apk2024-10-25 22:44 14K 
[   ]fzy-doc-1.0-r3.apk2024-10-25 22:44 2.7K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 11:54 6.2K 
[   ]gamemode-1.8.2-r0.apk2025-02-06 00:47 73K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-06 00:47 5.1K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-06 00:47 7.5K 
[   ]gamja-1.0.0_beta9-r0.apk2024-10-25 22:44 599K 
[   ]gamja-doc-1.0.0_beta9-r0.apk2024-10-25 22:44 2.2K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 22:44 90K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 22:44 14K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 22:44 78K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 22:44 21K 
[   ]gatling-0.16-r6.apk2024-10-25 22:44 147K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 22:44 9.1K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 22:44 2.8K 
[   ]gaupol-1.12-r2.apk2024-10-25 22:44 276K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 22:44 2.3K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 22:44 277K 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 22:44 418K 
[   ]gb-0.4.4-r30.apk2025-04-12 08:14 6.9M 
[   ]gcli-2.6.1-r0.apk2025-01-26 18:29 125K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 18:29 28K 
[   ]gdb-dashboard-0.17.4-r0.apk2025-03-01 22:44 23K 
[   ]gdb-dashboard-doc-0.17.4-r0.apk2025-03-01 22:44 3.0K 
[   ]gearman-dev-1.1.21-r1.apk2024-10-25 22:44 1.9M 
[   ]gearman-libs-1.1.21-r1.apk2024-10-25 22:44 83K 
[   ]gearmand-1.1.21-r1.apk2024-10-25 22:44 179K 
[   ]gearmand-doc-1.1.21-r1.apk2024-10-25 22:44 190K 
[   ]gearmand-openrc-1.1.21-r1.apk2024-10-25 22:44 1.8K 
[   ]gede-2.18.2-r1.apk2024-10-25 22:44 263K 
[   ]geoclue-stumbler-0.2.0-r0.apk2024-12-31 13:56 27K 
[   ]geodns-3.3.0-r12.apk2025-04-12 08:14 4.7M 
[   ]geodns-logs-3.3.0-r12.apk2025-04-12 08:14 4.3M 
[   ]geodns-openrc-3.3.0-r12.apk2025-04-12 08:14 1.8K 
[   ]geomyidae-0.34-r2.apk2024-10-25 22:44 15K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 22:44 7.6K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 22:44 2.0K 
[   ]geonames-0.3.1-r2.apk2024-10-25 22:44 827K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 22:44 3.0K 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 22:44 13K 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 22:44 4.6M 
[   ]getmail6-6.19.07-r0.apk2025-01-26 16:48 71K 
[   ]getmail6-doc-6.19.07-r0.apk2025-01-26 16:48 137K 
[   ]getmail6-pyc-6.19.07-r0.apk2025-01-26 16:48 105K 
[   ]getssl-2.48-r0.apk2024-10-25 22:44 82K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 22:36 715K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 22:36 497K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 22:36 229K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 22:44 40K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 22:44 83K 
[   ]gfan-0.6.2-r1.apk2024-10-25 22:44 1.6M 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 22:44 39K 
[   ]ghq-1.8.0-r0.apk2025-05-03 19:22 3.6M 
[   ]ghq-bash-completion-1.8.0-r0.apk2025-05-03 19:22 1.8K 
[   ]ghq-doc-1.8.0-r0.apk2025-05-03 19:22 5.5K 
[   ]ghq-fish-completion-1.8.0-r0.apk2025-05-03 19:22 2.6K 
[   ]ghq-zsh-completion-1.8.0-r0.apk2025-05-03 19:22 2.5K 
[   ]gimp-plugin-gmic-3.5.4-r0.apk2025-05-10 00:15 1.4M 
[   ]ginac-1.8.8-r0.apk2025-03-19 12:45 1.1M 
[   ]ginac-dev-1.8.8-r0.apk2025-03-19 12:45 68K 
[   ]ginac-doc-1.8.8-r0.apk2025-03-19 12:45 97K 
[   ]ginger-2.4.0-r7.apk2024-10-25 22:44 257K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 22:44 125K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 22:44 256K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 22:44 195K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 22:44 53K 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 22:44 72K 
[   ]git-cola-4.12.0-r0.apk2025-02-23 21:09 862K 
[   ]git-cola-doc-4.12.0-r0.apk2025-02-23 21:09 5.8K 
[   ]git-cola-pyc-4.12.0-r0.apk2025-02-23 21:09 774K 
[   ]git-extras-7.3.0-r0.apk2024-11-17 13:49 55K 
[   ]git-extras-bash-completion-7.3.0-r0.apk2024-11-17 13:49 2.8K 
[   ]git-extras-doc-7.3.0-r0.apk2024-11-17 13:49 63K 
[   ]git-graph-0.6.0-r0.apk2024-11-26 00:39 872K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-26 00:39 6.2K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-25 22:44 12K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-25 22:44 2.9K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 22:44 24K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 22:44 5.0K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 22:44 42K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 22:44 15K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 22:44 17K 
[   ]git2json-0.2.3-r8.apk2024-10-25 22:44 7.4K 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 22:44 5.7K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 23:36 402K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 23:36 17K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 23:36 19K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 23:36 379K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 23:36 52K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 22:44 67K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 22:44 199K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 22:44 46K 
[   ]gliderlabs-sigil-0.11.0-r4.apk2025-04-12 08:14 3.1M 
[   ]gliderlabs-sigil-doc-0.11.0-r4.apk2025-04-12 08:14 2.4K 
[   ]glmark2-2023.01-r1.apk2024-10-25 22:44 8.0M 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 22:44 13K 
[   ]gloox-1.0.28-r0.apk2024-10-25 22:44 370K 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 22:44 1.5M 
[   ]glow-2.1.0-r2.apk2025-04-12 08:14 5.7M 
[   ]glow-bash-completion-2.1.0-r2.apk2025-04-12 08:14 6.1K 
[   ]glow-doc-2.1.0-r2.apk2025-04-12 08:14 3.2K 
[   ]glow-fish-completion-2.1.0-r2.apk2025-04-12 08:14 4.3K 
[   ]glow-zsh-completion-2.1.0-r2.apk2025-04-12 08:14 4.0K 
[   ]glslviewer-3.2.4-r1.apk2025-02-12 15:29 1.9M 
[   ]gmcapsule-0.9.7-r0.apk2025-01-08 20:13 36K 
[   ]gmcapsule-openrc-0.9.7-r0.apk2025-01-08 20:13 1.9K 
[   ]gmcapsule-pyc-0.9.7-r0.apk2025-01-08 20:13 61K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-17 13:08 38K 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-17 13:08 4.1K 
[   ]gmic-3.5.4-r0.apk2025-05-10 00:15 12M 
[   ]gmic-bash-completion-3.5.4-r0.apk2025-05-10 00:15 29K 
[   ]gmic-dev-3.5.4-r0.apk2025-05-10 00:15 7.6K 
[   ]gmic-doc-3.5.4-r0.apk2025-05-10 00:15 222K 
[   ]gmic-libs-3.5.4-r0.apk2025-05-10 00:15 3.1M 
[   ]gmic-qt-3.5.4-r0.apk2025-05-10 00:15 1.7M 
[   ]gmid-2.1.1-r0.apk2024-11-27 20:26 229K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 20:26 14K 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 20:26 2.2K 
[   ]gmsh-4.12.2-r2.apk2024-10-25 22:44 9.0M 
[   ]gmsh-dbg-4.12.2-r2.apk2024-10-25 22:44 141M 
[   ]gmsh-doc-4.12.2-r2.apk2024-10-25 22:44 1.9M 
[   ]gmsh-py-4.12.2-r2.apk2024-10-25 22:44 6.7K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 22:44 11K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 22:44 506K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 22:44 25K 
[   ]gnome-user-share-48.0-r0.apk2025-03-19 14:30 319K 
[   ]gnome-user-share-lang-48.0-r0.apk2025-03-19 14:30 67K 
[   ]gnu-apl-1.9-r0.apk2024-10-25 22:44 1.3M 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 22:44 902K 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 22:44 1.6M 
[   ]go-jsonnet-0.20.0-r13.apk2025-04-12 08:14 6.2M 
[   ]go-mtpfs-1.0.0-r26.apk2025-04-12 08:14 1.1M 
[   ]go-passbolt-cli-0.3.2-r2.apk2025-04-12 08:14 6.0M 
[   ]gobuster-3.6.0-r11.apk2025-04-12 08:14 3.4M 
[   ]gomp-1.0.0-r11.apk2025-04-12 08:14 3.5M 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 22:44 48K 
[   ]goreman-0.3.15-r12.apk2025-04-12 08:14 2.4M 
[   ]gortr-0.14.8-r12.apk2025-04-12 08:14 10M 
[   ]gortr-openrc-0.14.8-r12.apk2025-04-12 08:14 2.0K 
[   ]goshs-1.0.5-r0.apk2025-05-08 12:45 5.7M 
[   ]goshs-doc-1.0.5-r0.apk2025-05-08 12:45 2.3K 
[   ]gossip-0.14.0-r0.apk2025-03-19 12:45 28M 
[   ]gossip-doc-0.14.0-r0.apk2025-03-19 12:45 22K 
[   ]gost-2.12.0-r5.apk2025-04-12 08:14 5.5M 
[   ]gost-doc-2.12.0-r5.apk2025-04-12 08:14 2.3K 
[   ]gosu-1.17-r9.apk2025-04-12 08:14 1.1M 
[   ]gotestsum-1.12.2-r0.apk2025-05-06 15:25 2.3M 
[   ]gotify-2.5.0-r5.apk2025-04-12 08:14 9.7M 
[   ]gotify-cli-2.3.2-r4.apk2025-04-12 08:14 4.1M 
[   ]gotify-openrc-2.5.0-r5.apk2025-04-12 08:14 2.0K 
[   ]goxel-0.15.1-r0.apk2024-10-25 22:44 1.8M 
[   ]gpa-0.10.0-r2.apk2024-10-25 22:44 214K 
[   ]gpa-doc-0.10.0-r2.apk2024-10-25 22:44 2.8K 
[   ]gpg-remailer-3.04.07-r1.apk2024-10-25 22:44 46K 
[   ]gpg-remailer-doc-3.04.07-r1.apk2024-10-25 22:44 9.5K 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 07:36 49K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 07:36 25K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 07:36 285K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 07:36 17K 
[   ]granite7-7.5.0-r0.apk2024-10-25 22:44 120K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 22:44 42K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 22:44 52K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 22:44 20K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 22:44 2.2K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 22:44 3.3K 
[   ]grip-4.2.4-r0.apk2024-10-25 22:44 380K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 22:44 6.2K 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 22:44 144K 
[   ]gron-0.7.1-r23.apk2025-04-12 08:14 2.5M 
[   ]grpcui-1.4.3-r2.apk2025-04-12 08:14 8.4M 
[   ]grpcurl-1.9.3-r1.apk2025-04-12 08:14 8.0M 
[   ]gsettings-qt-1.0.0-r0.apk2025-03-14 07:20 29K 
[   ]gsettings-qt-dev-1.0.0-r0.apk2025-03-14 07:20 3.5K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 22:44 16K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 22:44 5.8K 
[   ]gssdp-1.6.3-r0.apk2024-12-01 14:01 45K 
[   ]gssdp-dev-1.6.3-r0.apk2024-12-01 14:01 15K 
[   ]gst-rtsp-server-1.24.12-r0.apk2025-02-21 18:11 228K 
[   ]gst-rtsp-server-dev-1.24.12-r0.apk2025-02-21 18:11 93K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-17 13:08 479K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-17 13:08 310K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 17:17 35K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 17:17 5.3K 
[   ]gtkhash-1.5-r0.apk2024-10-25 22:44 86K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-25 22:44 47K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 17:17 19K 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 17:17 3.0K 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 22:44 2.6M 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 22:44 27K 
[   ]guake-3.10-r1.apk2024-10-25 22:44 305K 
[   ]guake-lang-3.10-r1.apk2024-10-25 22:44 188K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 22:44 186K 
[   ]guetzli-0_git20191025-r1.apk2024-10-25 22:44 152K 
[   ]guetzli-dev-0_git20191025-r1.apk2024-10-25 22:44 5.1M 
[   ]gufw-24.04-r3.apk2024-11-20 01:36 596K 
[   ]gufw-doc-24.04-r3.apk2024-11-20 01:36 4.5K 
[   ]gufw-lang-24.04-r3.apk2024-11-20 01:36 855K 
[   ]gufw-pyc-24.04-r3.apk2024-11-20 01:36 65K 
[   ]gupnp-1.6.8-r0.apk2025-01-20 15:47 88K 
[   ]gupnp-av-0.14.3-r0.apk2025-01-16 00:35 78K 
[   ]gupnp-av-dev-0.14.3-r0.apk2025-01-16 00:35 41K 
[   ]gupnp-dev-1.6.8-r0.apk2025-01-20 15:47 50K 
[   ]gupnp-dlna-0.12.0-r0.apk2024-12-01 14:01 66K 
[   ]gupnp-dlna-dev-0.12.0-r0.apk2024-12-01 14:01 24K 
[   ]gupnp-doc-1.6.8-r0.apk2025-01-20 15:47 3.7K 
[   ]gx-0.14.3-r29.apk2025-04-12 08:14 4.5M 
[   ]gx-doc-0.14.3-r29.apk2025-04-12 08:14 2.3K 
[   ]gx-go-1.9.0-r31.apk2025-04-12 08:14 4.8M 
[   ]gx-go-doc-1.9.0-r31.apk2025-04-12 08:14 2.3K 
[   ]gxlimg-0_git20240711-r0.apk2025-01-21 22:52 22K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 22:44 107K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 22:44 8.8K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 22:44 2.7K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 22:44 184K 
[   ]halp-0.2.0-r0.apk2024-10-25 22:44 941K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 22:44 2.2K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 22:44 6.9K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 22:44 2.0K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 22:44 2.4K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 22:44 156K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 22:44 2.0K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 22:44 116K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 22:44 206K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 22:44 358K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 22:44 104K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 22:44 32K 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 22:44 9.6K 
[   ]harminv-1.4.2-r1.apk2024-10-25 22:44 7.6K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 22:44 3.1K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 22:44 5.6K 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 22:44 29K 
[   ]hashcat-6.2.6-r0.apk2024-10-25 22:45 64M 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 22:45 2.1M 
[   ]hatch-1.14.1-r0.apk2025-04-10 15:54 102K 
[   ]hatch-pyc-1.14.1-r0.apk2025-04-10 15:54 216K 
[   ]hatop-0.8.2-r0.apk2024-10-25 22:45 18K 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 22:45 3.0K 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 22:45 33K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 22:45 2.2K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 22:45 34K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 22:45 258K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 22:45 101K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 22:45 6.0K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 22:45 194K 
[   ]heisenbridge-1.15.3-r0.apk2025-04-20 07:18 67K 
[   ]heisenbridge-pyc-1.15.3-r0.apk2025-04-20 07:18 155K 
[   ]helm-diff-3.11.0-r0.apk2025-05-04 18:46 21M 
[   ]helm-ls-0.0.12-r8.apk2025-04-12 08:14 10M 
[   ]helm-ls-doc-0.0.12-r8.apk2025-04-12 08:14 2.3K 
[   ]helm-mapkubeapis-0.5.2-r4.apk2025-04-12 08:14 19M 
[   ]helm-unittest-0.8.1-r0.apk2025-05-04 18:46 11M 
[   ]helmfile-1.0.0-r0.apk2025-05-04 18:46 45M 
[   ]helmfile-bash-completion-1.0.0-r0.apk2025-05-04 18:46 6.1K 
[   ]helmfile-doc-1.0.0-r0.apk2025-05-04 18:46 2.3K 
[   ]helmfile-fish-completion-1.0.0-r0.apk2025-05-04 18:46 4.3K 
[   ]helmfile-zsh-completion-1.0.0-r0.apk2025-05-04 18:46 4.0K 
[   ]herbe-1.0.0-r0.apk2024-10-25 22:45 5.5K 
[   ]hexdiff-0.0.53-r2.apk2024-10-25 22:45 15K 
[   ]hexdiff-doc-0.0.53-r2.apk2024-10-25 22:45 3.7K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 22:45 17K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 22:45 5.6K 
[   ]hexer-1.4.0-r16.apk2024-11-08 01:02 58K 
[   ]hexer-dev-1.4.0-r16.apk2024-11-08 01:02 7.3K 
[   ]hfst-3.16.2-r0.apk2025-03-29 10:54 1.4M 
[   ]hfst-dev-3.16.2-r0.apk2025-03-29 10:54 209K 
[   ]hfst-doc-3.16.2-r0.apk2025-03-29 10:54 70K 
[   ]hfst-libs-3.16.2-r0.apk2025-03-29 10:54 1.9M 
[   ]hiawatha-11.6-r0.apk2024-10-25 22:45 198K 
[   ]hiawatha-doc-11.6-r0.apk2024-10-25 22:45 21K 
[   ]hiawatha-letsencrypt-11.6-r0.apk2024-10-25 22:45 18K 
[   ]hiawatha-openrc-11.6-r0.apk2024-10-25 22:45 1.7K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 22:45 75K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 22:45 170K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-25 20:57 331K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-25 20:57 365K 
[   ]highfive-2.10.1-r0.apk2025-01-15 03:52 75K 
[   ]hikari-2.3.3-r6.apk2024-10-25 22:45 942K 
[   ]hikari-doc-2.3.3-r6.apk2024-10-25 22:45 14K 
[   ]hikari-unlocker-2.3.3-r6.apk2024-10-25 22:45 3.9K 
[   ]hilbish-2.3.4-r4.apk2025-04-12 08:14 3.4M 
[   ]hilbish-doc-2.3.4-r4.apk2025-04-12 08:14 25K 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-10-25 22:45 8.3K 
[   ]homebank-5.9.1-r0.apk2025-05-08 14:21 2.0M 
[   ]homebank-lang-5.9.1-r0.apk2025-05-08 14:21 942K 
[   ]hopalong-0.1-r3.apk2024-10-25 22:45 22K 
[   ]horizon-0.9.6-r9.apk2024-10-25 22:45 203K 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 22:45 4.1M 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 22:45 4.9K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 22:45 21K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 22:45 67K 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 22:45 80K 
[   ]howard-bc-7.0.3-r0.apk2024-10-25 22:45 70K 
[   ]howard-bc-doc-7.0.3-r0.apk2024-10-25 22:45 39K 
[   ]hping3-20051105-r4.apk2024-10-25 22:45 79K 
[   ]hping3-doc-20051105-r4.apk2024-10-25 22:45 17K 
[   ]hpnssh-18.6.2-r0.apk2025-03-20 12:57 2.4M 
[   ]hpnssh-doc-18.6.2-r0.apk2025-03-20 12:57 99K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 22:45 10K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 22:45 947K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 22:45 67K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 22:45 21K 
[   ]htslib-1.19-r0.apk2024-10-25 22:45 390K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 22:45 115K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 22:45 23K 
[   ]htslib-static-1.19-r0.apk2024-10-25 22:45 828K 
[   ]htslib-tools-1.19-r0.apk2024-10-25 22:45 1.3M 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 22:45 3.3K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 22:45 2.3K 
[   ]httplz-2.1.0-r0.apk2025-04-19 20:50 1.3M 
[   ]httplz-doc-2.1.0-r0.apk2025-04-19 20:50 2.3K 
[   ]httpx-1.7.0-r0.apk2025-04-29 09:32 13M 
[   ]httpx-doc-1.7.0-r0.apk2025-04-29 09:32 2.3K 
[   ]httrack-3.49.2-r5.apk2024-10-25 22:45 752K 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 22:45 528K 
[   ]hub-2.14.2-r30.apk2025-04-12 08:14 2.8M 
[   ]hub-bash-completion-2.14.2-r30.apk2025-04-12 08:14 4.6K 
[   ]hub-doc-2.14.2-r30.apk2025-04-12 08:14 42K 
[   ]hub-fish-completion-2.14.2-r30.apk2025-04-12 08:14 3.3K 
[   ]hub-zsh-completion-2.14.2-r30.apk2025-04-12 08:14 3.7K 
[   ]hubble-cli-0.13.6-r4.apk2025-04-12 08:14 17M 
[   ]hubble-cli-bash-completion-0.13.6-r4.apk2025-04-12 08:14 5.1K 
[   ]hubble-cli-fish-completion-0.13.6-r4.apk2025-04-12 08:14 4.3K 
[   ]hubble-cli-zsh-completion-0.13.6-r4.apk2025-04-12 08:14 4.1K 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 22:45 734K 
[   ]hurl-6.1.1-r0.apk2025-05-03 19:30 1.8M 
[   ]hurl-bash-completion-6.1.1-r0.apk2025-05-03 19:30 2.3K 
[   ]hurl-doc-6.1.1-r0.apk2025-05-03 19:30 8.8K 
[   ]hurl-fish-completion-6.1.1-r0.apk2025-05-03 19:30 3.5K 
[   ]hurl-zsh-completion-6.1.1-r0.apk2025-05-03 19:30 4.0K 
[   ]hurlfmt-6.1.1-r0.apk2025-05-03 19:30 830K 
[   ]hw-probe-1.6.6-r0.apk2025-02-12 15:29 124K 
[   ]hx-1.0.15-r0.apk2024-10-25 22:45 15K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 22:45 4.8K 
[   ]hy-1.0.0-r0.apk2024-10-25 22:45 85K 
[   ]hy-pyc-1.0.0-r0.apk2024-10-25 22:45 169K 
[   ]hyfetch-1.99.0-r1.apk2024-10-25 22:45 433K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-25 22:45 3.3K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-25 22:45 17K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-25 22:45 180K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-25 22:45 2.5K 
[   ]hypnotix-3.5-r0.apk2024-10-25 22:45 110K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 22:45 72K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 22:45 17K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 22:45 2.2K 
[   ]i2util-4.2.1-r1.apk2024-10-25 22:45 22K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 22:45 66K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 22:45 4.7K 
[   ]i3bar-river-1.1.0-r0.apk2025-03-14 15:07 528K 
[   ]i3status-rust-0.33.2-r0.apk2024-11-11 04:24 5.0M 
[   ]i3status-rust-doc-0.33.2-r0.apk2024-11-11 04:24 34K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 22:45 9.2K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 22:45 1.9K 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 22:45 17M 
[   ]icingaweb2-module-businessprocess-2.5.1-r0.apk2025-02-17 13:08 109K 
[   ]icingaweb2-module-businessprocess-doc-2.5.1-r0.apk2025-02-17 13:08 2.0M 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2024-10-25 22:45 11K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2024-10-25 22:45 231K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2024-10-25 22:45 6.6K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2024-10-25 22:45 1.8K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-25 22:45 9.1K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-25 22:45 1.8K 
[   ]icmake-9.03.01-r0.apk2024-10-25 22:45 120K 
[   ]icmake-doc-9.03.01-r0.apk2024-10-25 22:45 127K 
[   ]identities-0.1.4-r0.apk2025-05-05 23:16 13K 
[   ]identme-0.6.0-r0.apk2025-04-03 14:33 48K 
[   ]idesk-1-r1.apk2024-10-25 22:45 73K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 23:44 14K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 23:44 2.5K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 23:44 82K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 23:44 2.5K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 23:44 9.9K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 23:44 2.3K 
[   ]ijq-1.1.0-r7.apk2025-04-12 08:14 1.4M 
[   ]ijq-doc-1.1.0-r7.apk2025-04-12 08:14 3.5K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 22:45 41K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 22:45 13K 
[   ]imediff-2.6-r1.apk2024-10-25 22:45 42K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 22:45 6.5K 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 22:45 44K 
[   ]imgdiff-1.0.2-r25.apk2025-04-12 08:14 1.0M 
[   ]imgdiff-doc-1.0.2-r25.apk2025-04-12 08:14 2.3K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 22:45 8.7K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 22:45 20K 
[   ]initify-0_git20171210-r1.apk2024-10-25 22:45 3.2K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 22:45 112K 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 22:45 1.7K 
[   ]invidtui-0.4.6-r4.apk2025-04-12 08:14 3.9M 
[   ]ip2location-8.6.1-r0.apk2024-10-25 22:45 26K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 22:45 18K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 22:45 2.7K 
[   ]iprange-1.0.4-r1.apk2024-10-25 22:45 20K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 22:45 4.5K 
[   ]irccd-4.0.3-r0.apk2024-10-25 22:45 274K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 22:45 9.6K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 22:45 80K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 22:45 1.8K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-05 11:59 500K 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-05 11:59 3.6K 
[   ]ircdog-0.5.4-r4.apk2025-04-12 08:14 2.4M 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 22:45 6.4K 
[   ]isomd5sum-1.2.3-r3.apk2024-10-25 22:45 30K 
[   ]isomd5sum-doc-1.2.3-r3.apk2024-10-25 22:45 3.0K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 22:45 30K 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 22:45 30K 
[   ]jackal-0.64.0-r14.apk2025-04-12 08:14 12M 
[   ]jackal-openrc-0.64.0-r14.apk2025-04-12 08:14 1.8K 
[   ]jackdaw-0.3.1-r1.apk2024-10-25 22:45 2.0M 
[   ]jackdaw-pyc-0.3.1-r1.apk2024-10-25 22:45 369K 
[   ]jadx-1.5.1-r0.apk2025-01-16 14:28 111M 
[   ]jadx-doc-1.5.1-r0.apk2025-01-16 14:28 5.5K 
[   ]jalv-1.6.8-r1.apk2024-10-25 22:45 50K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 22:45 3.2K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 22:45 33K 
[   ]jaq-2.2.0-r0.apk2025-05-03 19:19 633K 
[   ]jaq-doc-2.2.0-r0.apk2025-05-03 19:19 2.2K 
[   ]java-asmtools-8.0.09-r0.apk2024-10-25 22:45 574K 
[   ]java-asmtools-doc-8.0.09-r0.apk2024-10-25 22:45 6.9K 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 22:45 4.0M 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 22:45 12K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 22:45 219K 
[   ]jbigkit-2.1-r2.apk2024-10-25 22:45 69K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 22:45 51K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 22:45 7.3K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 22:45 100K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 22:45 8.6K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 22:45 28K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 22:45 9.0K 
[   ]jedi-language-server-0.45.1-r0.apk2025-04-29 00:58 32K 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-04-29 00:58 50K 
[   ]jfrog-cli-2.45.0-r11.apk2025-04-12 08:14 9.0M 
[   ]jhead-3.08-r0.apk2024-10-25 22:45 34K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 22:45 7.9K 
[   ]jotdown-0.7.0-r0.apk2025-03-11 21:13 227K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 22:45 4.7K 
[   ]json2tsv-1.2-r0.apk2024-10-25 22:45 6.7K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 22:45 5.2K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 22:45 1.9K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 22:45 2.3K 
[   ]jsonnet-bundler-0.6.0-r4.apk2025-04-12 08:14 3.1M 
[   ]jsonnet-language-server-0.15.0-r3.apk2025-04-12 08:14 4.2M 
[   ]junit2html-31.0.2-r0.apk2024-10-25 22:45 17K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 22:45 23K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 19:21 851K 
[   ]k2-0_git20220807-r1.apk2024-10-25 22:45 101K 
[   ]k3sup-0.13.6-r4.apk2025-04-12 08:14 2.6M 
[   ]k3sup-bash-completion-0.13.6-r4.apk2025-04-12 08:14 5.0K 
[   ]k3sup-fish-completion-0.13.6-r4.apk2025-04-12 08:14 4.3K 
[   ]k3sup-zsh-completion-0.13.6-r4.apk2025-04-12 08:14 4.0K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 22:45 63K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 22:45 3.5K 
[   ]kannel-1.5.0-r11.apk2024-10-25 22:45 6.2M 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 22:45 1.4M 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 22:45 6.2K 
[   ]kapow-0.7.1-r12.apk2025-04-12 08:14 3.5M 
[   ]katana-1.1.3-r0.apk2025-05-04 02:26 13M 
[   ]katana-doc-1.1.3-r0.apk2025-05-04 02:26 2.3K 
[   ]katarakt-0.2-r1.apk2025-01-29 21:18 86K 
[   ]kati-0_git20240430-r0.apk2025-05-08 12:45 215K 
[   ]kcbench-0.9.12-r0.apk2025-04-27 15:40 37K 
[   ]kcbench-doc-0.9.12-r0.apk2025-04-27 15:40 20K 
[   ]kdiskmark-3.1.4-r1.apk2024-10-25 22:45 160K 
[   ]kdiskmark-lang-3.1.4-r1.apk2024-10-25 22:45 27K 
[   ]keepassxc-browser-1.8.9-r0.apk2024-10-25 22:45 876K 
[   ]kerberoast-0.2.0-r1.apk2024-10-25 22:45 9.6K 
[   ]kerberoast-pyc-0.2.0-r1.apk2024-10-25 22:45 15K 
[   ]kew-3.2.0-r0.apk2025-05-09 16:18 381K 
[   ]kew-doc-3.2.0-r0.apk2025-05-09 16:18 3.5K 
[   ]keybase-client-6.2.8-r9.apk2025-04-12 08:14 18M 
[   ]keydb-6.3.4-r0.apk2024-10-25 22:45 1.1M 
[   ]keydb-benchmark-6.3.4-r0.apk2024-10-25 22:45 444K 
[   ]keydb-cli-6.3.4-r0.apk2024-10-25 22:45 391K 
[   ]keydb-openrc-6.3.4-r0.apk2024-10-25 22:45 2.6K 
[   ]kfc-0.1.4-r0.apk2024-10-25 22:45 57K 
[   ]kgraphviewer-2.5.0-r0.apk2024-10-25 22:45 1.3M 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-10-25 22:45 6.3K 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-10-25 22:45 230K 
[   ]khinsider-2.0.7-r19.apk2025-04-12 08:14 3.4M 
[   ]khronos-4.0.1-r0.apk2024-10-25 22:45 55K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 22:45 26K 
[   ]kimchi-3.0.0-r8.apk2025-02-12 15:29 529K 
[   ]kimchi-lang-3.0.0-r8.apk2025-02-12 15:29 172K 
[   ]kimchi-pyc-3.0.0-r8.apk2025-02-12 15:29 476K 
[   ]kine-0.10.1-r12.apk2025-04-12 08:14 7.6M 
[   ]kine-doc-0.10.1-r12.apk2025-04-12 08:14 5.2K 
[   ]kirc-0.3.3-r0.apk2025-02-22 12:09 15K 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 12:09 2.8K 
[   ]kismet-0.202307.1-r5.apk2025-04-11 20:27 12M 
[   ]kismet-linux-bluetooth-0.202307.1-r5.apk2025-04-11 20:27 47K 
[   ]kismet-linux-wifi-0.202307.1-r5.apk2025-04-11 20:27 66K 
[   ]kismet-logtools-0.202307.1-r5.apk2025-04-11 20:27 1.1M 
[   ]kismet-nrf-51822-0.202307.1-r5.apk2025-04-11 20:27 43K 
[   ]kismet-nxp-kw41z-0.202307.1-r5.apk2025-04-11 20:27 45K 
[   ]kjv-0_git20221103-r0.apk2024-10-25 22:45 1.5M 
[   ]klevernotes-1.1.0-r0.apk2025-01-23 17:33 2.1M 
[   ]klevernotes-lang-1.1.0-r0.apk2025-01-23 17:33 142K 
[   ]kmscon-9.0.0-r0.apk2024-10-25 22:45 794K 
[   ]kmscon-doc-9.0.0-r0.apk2024-10-25 22:45 7.7K 
[   ]knative-client-1.17.0-r3.apk2025-04-12 08:14 22M 
[   ]knative-client-bash-completion-1.17.0-r3.apk2025-04-12 08:14 10K 
[   ]knative-client-fish-completion-1.17.0-r3.apk2025-04-12 08:14 4.3K 
[   ]knative-client-zsh-completion-1.17.0-r3.apk2025-04-12 08:14 4.0K 
[   ]knxd-0.14.61-r1.apk2024-12-14 22:14 412K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 22:14 35K 
[   ]ko-0.17.1-r4.apk2025-04-12 08:14 9.9M 
[   ]ko-bash-completion-0.17.1-r4.apk2025-04-12 08:14 5.0K 
[   ]ko-fish-completion-0.17.1-r4.apk2025-04-12 08:14 4.3K 
[   ]ko-zsh-completion-0.17.1-r4.apk2025-04-12 08:14 4.0K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-20 09:17 54K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-20 09:17 23K 
[   ]komikku-1.74.0-r0.apk2025-04-07 21:13 401K 
[   ]komikku-lang-1.74.0-r0.apk2025-04-07 21:13 263K 
[   ]komikku-pyc-1.74.0-r0.apk2025-04-07 21:13 758K 
[   ]kompose-1.31.2-r9.apk2025-04-12 08:14 6.8M 
[   ]kompose-bash-completion-1.31.2-r9.apk2025-04-12 08:14 5.6K 
[   ]kompose-fish-completion-1.31.2-r9.apk2025-04-12 08:14 4.4K 
[   ]kompose-zsh-completion-1.31.2-r9.apk2025-04-12 08:14 6.8K 
[   ]kondo-0.8-r0.apk2024-10-25 22:45 704K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 22:45 2.1K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 22:45 2.1K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 22:45 2.4K 
[   ]kopia-0.19.0-r3.apk2025-04-12 08:14 16M 
[   ]kopia-bash-completion-0.19.0-r3.apk2025-04-12 08:14 1.8K 
[   ]kopia-zsh-completion-0.19.0-r3.apk2025-04-12 08:14 1.8K 
[   ]kotlin-language-server-1.3.13-r0.apk2025-03-29 10:54 83M 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-10-25 22:45 2.7M 
[   ]ktx-4.3.2-r0.apk2024-10-25 22:45 1.2M 
[   ]ktx-dev-4.3.2-r0.apk2024-10-25 22:45 29K 
[   ]ktx-libs-4.3.2-r0.apk2024-10-25 22:45 1.4M 
[   ]kube-no-trouble-0.7.3-r4.apk2025-04-12 08:14 13M 
[   ]kubeconform-0.6.6-r6.apk2025-04-12 08:14 3.3M 
[   ]kubectl-oidc_login-1.32.3-r1.apk2025-04-12 08:14 5.3M 
[   ]kubeone-1.9.2-r3.apk2025-04-12 08:14 26M 
[   ]kubeone-bash-completion-1.9.2-r3.apk2025-04-12 08:14 6.7K 
[   ]kubeone-doc-1.9.2-r3.apk2025-04-12 08:14 20K 
[   ]kubeone-zsh-completion-1.9.2-r3.apk2025-04-12 08:14 4.0K 
[   ]kubepug-1.7.1-r9.apk2025-04-12 08:14 16M 
[   ]kubepug-bash-completion-1.7.1-r9.apk2025-04-12 08:14 5.1K 
[   ]kubepug-fish-completion-1.7.1-r9.apk2025-04-12 08:14 4.3K 
[   ]kubepug-zsh-completion-1.7.1-r9.apk2025-04-12 08:14 4.1K 
[   ]kubeseal-0.29.0-r0.apk2025-04-20 22:25 10M 
[   ]kubeseal-doc-0.29.0-r0.apk2025-04-20 22:25 5.5K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 22:45 13K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 22:45 13K 
[   ]lavacli-2.2.0-r0.apk2025-03-21 19:44 48K 
[   ]lavacli-doc-2.2.0-r0.apk2025-03-21 19:44 34K 
[   ]lavacli-pyc-2.2.0-r0.apk2025-03-21 19:44 84K 
[   ]laze-0.1.31-r0.apk2025-02-19 08:13 1.0M 
[   ]laze-bash-completion-0.1.31-r0.apk2025-02-19 08:13 3.1K 
[   ]laze-doc-0.1.31-r0.apk2025-02-19 08:13 3.5K 
[   ]laze-fish-completion-0.1.31-r0.apk2025-02-19 08:13 3.4K 
[   ]laze-zsh-completion-0.1.31-r0.apk2025-02-19 08:13 3.6K 
[   ]lbb-0.9.1-r2.apk2025-04-12 08:14 3.0M 
[   ]lbb-doc-0.9.1-r2.apk2025-04-12 08:14 19K 
[   ]lcalc-2.1.0-r0.apk2025-05-03 10:31 178K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-03 10:31 51K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-03 10:31 437K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-03 10:31 232K 
[   ]ldapdomaindump-0.9.4-r1.apk2024-10-25 22:45 18K 
[   ]ldapdomaindump-pyc-0.9.4-r1.apk2024-10-25 22:45 31K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 22:45 81K 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 22:45 14K 
[   ]lefthook-1.11.9-r0.apk2025-04-13 00:16 5.1M 
[   ]lefthook-doc-1.11.9-r0.apk2025-04-13 00:16 2.2K 
[   ]legume-1.4.2-r8.apk2025-04-12 08:14 1.4M 
[   ]legume-doc-1.4.2-r8.apk2025-04-12 08:14 12K 
[   ]level-zero-1.21.1-r0.apk2025-04-24 10:46 581K 
[   ]level-zero-doc-1.21.1-r0.apk2025-04-24 10:46 2.3K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 22:45 83K 
[   ]lfm-3.1-r4.apk2024-10-25 22:45 88K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 22:45 2.7K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 22:45 134K 
[   ]lfortran-0.52.0-r0.apk2025-05-06 18:34 36M 
[   ]lfortran-doc-0.52.0-r0.apk2025-05-06 18:34 3.8K 
[   ]lfortran-runtime-0.52.0-r0.apk2025-05-06 18:34 39K 
[   ]lfortran-scripts-0.52.0-r0.apk2025-05-06 18:34 3.9K 
[   ]lfortran-static-0.52.0-r0.apk2025-05-06 18:34 57K 
[   ]lgogdownloader-3.16-r1.apk2025-03-25 15:44 369K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-25 15:44 8.5K 
[   ]libabigail-2.3-r0.apk2024-10-25 22:45 864K 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 22:45 2.9K 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 22:45 2.4M 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 22:45 61K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 22:45 114K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 22:45 53K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 22:45 58K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 12:01 447K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 12:01 1.5M 
[   ]libapk3-3.0.0_rc4_git20250320-r0.apk2025-03-24 12:33 132K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 22:45 28K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 22:45 4.3K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 22:45 43K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 22:45 28K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 22:45 4.3K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 22:45 6.7K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 22:45 8.0K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 22:45 153K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 22:45 6.4K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 22:45 31K 
[   ]libbloom-2.0-r0.apk2024-10-25 22:45 8.6K 
[   ]libbloom-dev-2.0-r0.apk2024-10-25 22:45 3.5K 
[   ]libbraiding-1.3.1-r0.apk2024-11-17 05:16 90K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-17 05:16 15K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 22:45 44K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 22:45 39K 
[   ]libclc-next-21.0.0_pre20250504-r0.apk2025-05-05 13:57 22M 
[   ]libcli-1.10.7-r0.apk2024-10-25 22:45 31K 
[   ]libcork-0.15.0-r7.apk2024-10-25 22:45 35K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 22:45 30K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 22:45 4.6K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 22:45 13K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 22:45 8.1K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 22:45 11K 
[   ]libcotp-3.1.0-r0.apk2024-10-25 22:45 8.1K 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-25 22:45 2.5K 
[   ]libctl-4.5.1-r1.apk2024-10-25 22:45 99K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 22:45 39K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 22:45 3.0K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 22:45 21K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 22:45 13K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 22:45 8.6K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 22:45 44K 
[   ]libdbusaccess-1.0.20-r0.apk2025-04-14 00:19 18K 
[   ]libdbusaccess-dev-1.0.20-r0.apk2025-04-14 00:19 5.0K 
[   ]libdcmtk-3.6.9-r0.apk2025-04-08 00:07 6.4M 
[   ]libdng-0.2.1-r0.apk2024-12-27 23:06 12K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 23:06 3.2K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 23:06 4.2K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 23:06 6.0K 
[   ]libeantic-2.1.0-r0.apk2025-05-07 18:01 78K 
[   ]libeantic-dev-2.1.0-r0.apk2025-05-07 18:01 17K 
[   ]libecap-1.0.1-r1.apk2024-10-25 22:45 14K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 22:45 11K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 22:45 27K 
[   ]libecm-7.0.5-r1.apk2024-10-25 22:45 231K 
[   ]libemf2svg-1.1.0-r2.apk2024-10-25 22:45 157K 
[   ]libemf2svg-utils-1.1.0-r2.apk2024-10-25 22:45 18K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 22:45 42K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 22:45 18K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 22:45 199K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 22:45 10K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 22:45 112K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 22:45 76K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 22:45 104K 
[   ]libfort-0.4.2-r0.apk2024-10-25 22:45 32K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 22:45 18K 
[   ]libfyaml-0.9-r0.apk2024-10-25 22:45 295K 
[   ]libfyaml-dev-0.9-r0.apk2024-10-25 22:45 42K 
[   ]libfyaml-doc-0.9-r0.apk2024-10-25 22:45 7.4K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 22:45 76K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 22:45 245K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 22:45 160K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-08 14:21 13K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-08 14:21 5.5K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-08 14:21 25K 
[   ]libgrapheme-1-r0.apk2024-10-25 22:45 10K 
[   ]libgrapheme-dev-1-r0.apk2024-10-25 22:45 12K 
[   ]libgrapheme-doc-1-r0.apk2024-10-25 22:45 8.2K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 22:45 16K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 22:45 29K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 22:45 5.5K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 22:45 7.6K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 22:45 14K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 23:44 17K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 23:44 3.3K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 23:44 2.2K 
[   ]libigraph-0.10.15-r0.apk2024-11-15 18:16 1.3M 
[   ]libigraph-dev-0.10.15-r0.apk2024-11-15 18:16 90K 
[   ]libiio-0.25-r2.apk2024-10-25 22:45 53K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 22:45 13K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 22:45 18K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 22:45 21K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 22:45 85K 
[   ]libiml-1.0.5-r3.apk2024-10-25 22:45 84K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 22:45 3.9K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 22:45 146K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 23:44 27K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 23:44 4.1K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 23:44 8.2K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 22:45 59K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 22:45 20K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 22:45 9.3K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 22:45 123K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 22:45 84K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 22:45 7.8K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 22:45 4.2K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 22:45 3.7K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 22:45 160K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 22:45 20K 
[   ]libm4ri-20240729-r2.apk2025-01-15 19:15 146K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 19:15 32K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 19:15 226K 
[   ]libm4rie-20200125-r5.apk2025-01-15 19:15 178K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 19:15 24K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 19:15 284K 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 22:45 802K 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 22:45 2.8M 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 22:45 93K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 22:45 9.1K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 22:45 35K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 22:45 14K 
[   ]libmedc-4.1.1-r4.apk2024-10-25 22:45 590K 
[   ]libmedc-dev-4.1.1-r4.apk2024-10-25 22:45 38K 
[   ]libmedc-doc-4.1.1-r4.apk2024-10-25 22:45 41M 
[   ]libmedc-python-4.1.1-r4.apk2024-10-25 22:45 1.8M 
[   ]libmedc-python-pyc-4.1.1-r4.apk2024-10-25 22:45 476K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 22:45 96K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 22:45 120K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 22:45 8.1K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 22:45 39K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 22:45 5.4K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 22:45 19K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 22:45 93K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 22:45 19K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 22:45 54K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 22:45 129K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 22:45 84K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 22:45 12K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 22:45 26K 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 22:45 7.1K 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 22:45 1.1M 
[   ]libnest2d-0.4-r7.apk2025-02-06 05:49 1.2K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 05:49 70K 
[   ]libnfc-1.8.0-r1.apk2024-10-25 22:45 58K 
[   ]libnfc-dev-1.8.0-r1.apk2024-10-25 22:45 7.9K 
[   ]libnfc-doc-1.8.0-r1.apk2024-10-25 22:45 22K 
[   ]libnfc-tools-1.8.0-r1.apk2024-10-25 22:45 60K 
[   ]libnfcdef-1.0.1-r0.apk2025-04-14 00:19 14K 
[   ]libnfcdef-dev-1.0.1-r0.apk2025-04-14 00:19 5.7K 
[   ]libnih-1.0.3-r7.apk2024-10-25 22:45 111K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 22:45 166K 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 22:45 2.7K 
[   ]libntl-11.5.1-r4.apk2024-10-25 22:45 1.1M 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 22:45 159K 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 22:45 374K 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 22:45 3.4M 
[   ]libnxml-0.18.3-r0.apk2024-10-25 22:45 21K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 22:45 47K 
[   ]libofx-0.10.9-r1.apk2024-10-25 22:45 63K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 22:45 20K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 22:45 104K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 22:45 101K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 22:45 354K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 22:45 134K 
[   ]libppl-1.2-r1.apk2024-10-25 22:45 522K 
[   ]libppl_c-1.2-r1.apk2024-10-25 22:45 1.4M 
[   ]libqofono-0.124-r0.apk2025-01-10 13:55 1.2K 
[   ]libqofono-dev-0.124-r0.apk2025-01-10 13:55 42K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-10 13:55 290K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-10 13:55 417K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-17 13:08 68K 
[   ]libqtdbustest-0.3.3-r1.apk2025-02-17 13:08 33K 
[   ]libraqm-0.10.2-r0.apk2024-10-25 22:45 11K 
[   ]libraqm-dev-0.10.2-r0.apk2024-10-25 22:45 4.5K 
[   ]libraqm-doc-0.10.2-r0.apk2024-10-25 22:45 21K 
[   ]libre-3.20.0-r0.apk2025-02-25 13:18 296K 
[   ]libre-dev-3.20.0-r0.apk2025-02-25 13:18 721K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 22:45 45K 
[   ]libresprite-1.2-r0.apk2025-04-14 00:17 15M 
[   ]libresprite-doc-1.2-r0.apk2025-04-14 00:17 15K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 22:45 291K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 22:45 485K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 22:45 346K 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 22:45 491K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 22:45 676K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 22:45 270K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 22:45 305K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 22:45 288K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 22:45 628K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 22:45 143K 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 22:45 12M 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 22:45 37K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 22:45 168K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 22:45 1.0M 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 22:45 1.1M 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 22:45 196K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 22:45 9.6K 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 22:45 194K 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 22:45 3.4M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 22:45 8.1M 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 22:45 189K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 22:45 481K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 22:45 324K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 22:45 565K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 22:45 192K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-25 22:45 533K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 22:45 88K 
[   ]libretro-ppsspp-0_git20210516-r15.apk2025-04-10 22:34 2.2M 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 22:45 21M 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 22:45 690K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 22:45 871K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 22:45 421K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 22:45 123K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 22:45 106K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 22:45 159K 
[   ]libsds-2.0.0-r1.apk2024-10-25 22:45 10K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 22:45 4.0K 
[   ]libsemanage-3.6-r1.apk2024-10-25 22:45 94K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 22:45 221K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 22:45 23K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 22:14 777K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 22:14 335K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 22:14 2.9M 
[   ]libserialport-0.1.1-r1.apk2024-10-25 22:45 19K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 22:45 61K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-10-25 22:45 50K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 22:45 516K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 22:45 31K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 22:45 335K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 22:45 59K 
[   ]libsimpleble-0.8.1-r0.apk2025-02-25 23:26 184K 
[   ]libsimpleble-c-0.8.1-r0.apk2025-02-25 23:26 16K 
[   ]libsimplebluez-0.8.1-r0.apk2025-02-25 23:26 129K 
[   ]libsirocco-2.1.0-r2.apk2024-10-25 22:45 62K 
[   ]libsirocco-dev-2.1.0-r2.apk2024-10-25 22:45 1.9K 
[   ]libspatialindex-0_git20210205-r1.apk2024-10-25 22:45 307K 
[   ]libspatialindex-dev-0_git20210205-r1.apk2024-10-25 22:45 22K 
[   ]libstirshaken-0_git20240208-r4.apk2025-04-28 21:56 53K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-04-28 21:56 123K 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-04-28 21:56 167K 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 22:45 4.1M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 22:45 32K 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 22:45 12M 
[   ]libtcmu-1.6.0-r6.apk2024-10-25 22:45 37K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-25 22:45 1.5K 
[   ]libtins-4.5-r1.apk2024-10-25 22:45 313K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 22:45 141K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 22:45 2.3K 
[   ]libtommath-1.2.1-r0.apk2024-10-25 22:45 41K 
[   ]libtommath-dev-1.2.1-r0.apk2024-10-25 22:45 87K 
[   ]libtsm-4.0.2-r1.apk2024-10-25 22:45 27K 
[   ]libtsm-dev-4.0.2-r1.apk2024-10-25 22:45 9.5K 
[   ]libucl-0.9.0-r0.apk2024-10-25 22:45 54K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 22:45 133K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 22:45 8.8K 
[   ]libuecc-7-r4.apk2025-03-03 17:06 10K 
[   ]libuecc-dev-7-r4.apk2025-03-03 17:06 4.6K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 22:45 553K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 22:45 3.4K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 22:45 2.0K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 22:45 60K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 22:45 59K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 22:45 16K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 22:45 2.9K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 22:46 128K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 22:46 330K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 22:46 256K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 22:46 125K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 22:46 9.9K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 22:46 5.7K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 22:46 75K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 22:46 9.2K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 22:46 29K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 22:46 4.3K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 22:46 1.5K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 22:46 1.7K 
[   ]libxml++-5.4.0-r0.apk2025-02-17 13:08 65K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-17 13:08 29K 
[   ]libxo-1.7.5-r0.apk2025-01-12 23:45 181K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 23:45 124K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 23:45 63K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 22:46 54K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 22:46 8.1K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 22:46 85K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 22:55 165K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 22:55 38K 
[   ]libzvbi-0.2.44-r0.apk2025-03-11 21:33 235K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-11 21:33 14K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-11 21:33 465K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 22:46 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 22:46 18K 
[   ]limnoria-20240828-r0.apk2024-10-25 22:46 1.1M 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 22:46 8.4K 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 22:46 1.2M 
[   ]linkquisition-1.6.1-r4.apk2025-04-12 08:14 12M 
[   ]linphone-5.3.38-r0.apk2024-10-25 22:46 9.0M 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 22:46 250K 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 22:46 2.9M 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 22:46 197K 
[   ]linux-stable-6.14.6-r0.apk2025-05-09 17:42 36M 
[   ]linux-stable-dev-6.14.6-r0.apk2025-05-09 17:42 15M 
[   ]linux-stable-doc-6.14.6-r0.apk2025-05-09 17:42 13M 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 22:46 5.0K 
[   ]linuxkit-1.5.3-r4.apk2025-04-12 08:14 13M 
[   ]linuxkit-doc-1.5.3-r4.apk2025-04-12 08:14 10K 
[   ]linuxptp-4.4-r0.apk2024-11-17 18:18 1.2K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-17 18:18 38K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-17 18:18 4.4K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-17 18:18 33K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-17 18:18 37K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-17 18:18 10K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-17 18:18 36K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-17 18:18 80K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-17 18:18 16K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-17 18:18 37K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-17 18:18 20K 
[   ]liquibase-4.9.1-r0.apk2024-10-25 22:46 32M 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 22:46 57K 
[   ]listenbrainz-mpd-2.3.8-r0.apk2024-10-25 22:46 1.3M 
[   ]listenbrainz-mpd-bash-completion-2.3.8-r0.apk2024-10-25 22:46 2.1K 
[   ]listenbrainz-mpd-doc-2.3.8-r0.apk2024-10-25 22:46 14K 
[   ]listenbrainz-mpd-fish-completion-2.3.8-r0.apk2024-10-25 22:46 1.8K 
[   ]listenbrainz-mpd-zsh-completion-2.3.8-r0.apk2024-10-25 22:46 2.1K 
[   ]litehtml-0.9-r2.apk2025-02-17 13:08 324K 
[   ]litehtml-dev-0.9-r2.apk2025-02-17 13:08 42K 
[   ]litehtml-static-0.9-r2.apk2025-02-17 13:08 1.0M 
[   ]litterbox-1.9-r1.apk2024-10-25 22:46 35K 
[   ]litterbox-doc-1.9-r1.apk2024-10-25 22:46 7.2K 
[   ]lizardfs-3.13.0-r15.apk2025-02-17 13:08 146K 
[   ]lizardfs-bash-completion-3.13.0-r15.apk2025-02-17 13:08 1.8K 
[   ]lizardfs-cgi-3.13.0-r15.apk2025-02-17 13:08 31K 
[   ]lizardfs-cgiserv-3.13.0-r15.apk2025-02-17 13:08 7.3K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r15.apk2025-02-17 13:08 1.9K 
[   ]lizardfs-chunkserver-3.13.0-r15.apk2025-02-17 13:08 387K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r15.apk2025-02-17 13:08 1.6K 
[   ]lizardfs-client-3.13.0-r15.apk2025-02-17 13:08 1.4M 
[   ]lizardfs-doc-3.13.0-r15.apk2025-02-17 13:08 11K 
[   ]lizardfs-master-3.13.0-r15.apk2025-02-17 13:08 1.0M 
[   ]lizardfs-master-openrc-3.13.0-r15.apk2025-02-17 13:08 1.6K 
[   ]lizardfs-metalogger-3.13.0-r15.apk2025-02-17 13:08 167K 
[   ]lizardfs-metalogger-openrc-3.13.0-r15.apk2025-02-17 13:08 1.6K 
[   ]lld-next-21.0.0_pre20250504-r0.apk2025-05-05 13:57 8.5K 
[   ]lld-next-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 18K 
[   ]lld-next-libs-21.0.0_pre20250504-r0.apk2025-05-05 13:57 1.9M 
[   ]lldb-next-21.0.0_pre20250504-r0.apk2025-05-05 13:57 6.3M 
[   ]lldb-next-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 769K 
[   ]lldb-next-pyc-21.0.0_pre20250504-r0.apk2025-05-05 13:57 287K 
[   ]llmnrd-0.7-r1.apk2024-10-25 22:46 16K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 22:46 3.0K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 22:46 1.9K 
[   ]llvm-next-21.0.0_pre20250504-r0.apk2025-05-05 13:57 22M 
[   ]llvm-next-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 8.2M 
[   ]llvm-next-gtest-21.0.0_pre20250504-r0.apk2025-05-05 13:57 464K 
[   ]llvm-next-libc++-21.0.0_pre20250504-r0.apk2025-05-05 13:57 367K 
[   ]llvm-next-libc++-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 1.8M 
[   ]llvm-next-libc++-static-21.0.0_pre20250504-r0.apk2025-05-05 13:57 685K 
[   ]llvm-next-libgcc-21.0.0_pre20250504-r0.apk2025-05-05 13:57 46K 
[   ]llvm-next-libgcc-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 2.5K 
[   ]llvm-next-libs-21.0.0_pre20250504-r0.apk2025-05-05 13:57 32M 
[   ]llvm-next-libunwind-21.0.0_pre20250504-r0.apk2025-05-05 13:57 17K 
[   ]llvm-next-libunwind-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 20K 
[   ]llvm-next-libunwind-static-21.0.0_pre20250504-r0.apk2025-05-05 13:57 25K 
[   ]llvm-next-linker-tools-21.0.0_pre20250504-r0.apk2025-05-05 13:57 1.2M 
[   ]llvm-next-lit-21.0.0_pre20250504-r0.apk2025-05-05 13:57 88K 
[   ]llvm-next-lit-pyc-21.0.0_pre20250504-r0.apk2025-05-05 13:57 154K 
[   ]llvm-next-offload-21.0.0_pre20250504-r0.apk2025-05-05 13:57 146K 
[   ]llvm-next-offload-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 368K 
[   ]llvm-next-openmp-21.0.0_pre20250504-r0.apk2025-05-05 13:57 474K 
[   ]llvm-next-openmp-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 399K 
[   ]llvm-next-polly-21.0.0_pre20250504-r0.apk2025-05-05 13:57 2.4M 
[   ]llvm-next-runtime-21.0.0_pre20250504-r0.apk2025-05-05 13:57 475K 
[   ]llvm-next-static-21.0.0_pre20250504-r0.apk2025-05-05 13:57 64M 
[   ]llvm-next-test-utils-21.0.0_pre20250504-r0.apk2025-05-05 13:57 598K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 22:46 5.1K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 22:46 75K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 22:46 39K 
[   ]log4cxx-1.1.0-r3.apk2025-02-17 13:08 527K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-17 13:08 135K 
[   ]logc-0.5.0-r0.apk2024-10-25 22:46 8.2K 
[   ]logc-argp-0.5.0-r0.apk2024-10-25 22:46 16K 
[   ]logc-config-0.5.0-r0.apk2024-10-25 22:46 5.0K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 22:46 4.0K 
[   ]logc-dev-0.5.0-r0.apk2024-10-25 22:46 8.9K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 22:46 3.3K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 22:46 1.4K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 22:46 6.1K 
[   ]logisim-evolution-3.8.0-r0.apk2025-05-06 05:03 24M 
[   ]logtop-0.7-r0.apk2024-10-25 22:46 14K 
[   ]logtop-doc-0.7-r0.apk2024-10-25 22:46 2.8K 
[   ]logtop-libs-0.7-r0.apk2024-10-25 22:46 15K 
[   ]logwatch-7.10-r1.apk2024-10-25 22:46 483K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 22:46 38K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 22:46 447K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 22:46 6.5K 
[   ]lolcat-1.4-r0.apk2024-10-25 22:46 9.3K 
[   ]lomiri-0.5.0-r0.apk2025-05-09 19:33 3.9M 
[   ]lomiri-action-api-1.2.0-r0.apk2025-04-11 07:48 80K 
[   ]lomiri-action-api-dev-1.2.0-r0.apk2025-04-11 07:48 5.0K 
[   ]lomiri-api-0.2.2-r1.apk2025-02-17 13:08 33K 
[   ]lomiri-api-dev-0.2.2-r1.apk2025-02-17 13:08 32K 
[   ]lomiri-app-launch-0.1.12-r0.apk2025-05-09 19:33 351K 
[   ]lomiri-app-launch-dev-0.1.12-r0.apk2025-05-09 19:33 20K 
[   ]lomiri-calculator-app-4.0.2-r1.apk2025-03-13 07:34 374K 
[   ]lomiri-calculator-app-lang-4.0.2-r1.apk2025-03-13 07:34 34K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-09 19:33 222K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-09 19:33 456K 
[   ]lomiri-content-hub-2.1.0-r0.apk2025-04-14 00:07 283K 
[   ]lomiri-content-hub-dev-2.1.0-r0.apk2025-04-14 00:07 11K 
[   ]lomiri-content-hub-doc-2.1.0-r0.apk2025-04-14 00:07 1.4M 
[   ]lomiri-content-hub-lang-2.1.0-r0.apk2025-04-14 00:07 47K 
[   ]lomiri-docviewer-app-3.0.4-r2.apk2025-03-13 07:34 224K 
[   ]lomiri-docviewer-app-doc-3.0.4-r2.apk2025-03-13 07:34 2.0K 
[   ]lomiri-docviewer-app-lang-3.0.4-r2.apk2025-03-13 07:34 116K 
[   ]lomiri-download-manager-0.2.1-r0.apk2025-04-14 02:03 587K 
[   ]lomiri-download-manager-dev-0.2.1-r0.apk2025-04-14 02:03 17K 
[   ]lomiri-download-manager-doc-0.2.1-r0.apk2025-04-14 02:03 3.4M 
[   ]lomiri-download-manager-lang-0.2.1-r0.apk2025-04-14 02:03 30K 
[   ]lomiri-filemanager-app-1.0.4-r1.apk2025-03-13 07:34 330K 
[   ]lomiri-filemanager-app-lang-1.0.4-r1.apk2025-03-13 07:34 171K 
[   ]lomiri-gallery-app-3.0.2-r1.apk2025-03-13 07:34 3.7M 
[   ]lomiri-gallery-app-lang-3.0.2-r1.apk2025-03-13 07:34 106K 
[   ]lomiri-history-service-0.6-r5.apk2025-04-24 00:15 351K 
[   ]lomiri-history-service-dev-0.6-r5.apk2025-04-24 00:15 11K 
[   ]lomiri-indicator-location-0_git20231227-r2.apk2025-03-13 07:34 29K 
[   ]lomiri-indicator-location-lang-0_git20231227-r2.apk2025-03-13 07:34 29K 
[   ]lomiri-indicator-network-1.1.1-r0.apk2025-05-09 19:33 588K 
[   ]lomiri-indicator-network-dev-1.1.1-r0.apk2025-05-09 19:33 9.5K 
[   ]lomiri-indicator-network-doc-1.1.1-r0.apk2025-05-09 19:33 2.1K 
[   ]lomiri-indicator-network-lang-1.1.1-r0.apk2025-05-09 19:33 196K 
[   ]lomiri-lang-0.5.0-r0.apk2025-05-09 19:33 269K 
[   ]lomiri-libusermetrics-1.3.3-r1.apk2025-02-17 13:08 172K 
[   ]lomiri-libusermetrics-dev-1.3.3-r1.apk2025-02-17 13:08 7.8K 
[   ]lomiri-libusermetrics-doc-1.3.3-r1.apk2025-02-17 13:08 228K 
[   ]lomiri-libusermetrics-lang-1.3.3-r1.apk2025-02-17 13:08 43K 
[   ]lomiri-location-service-3.3.0-r2.apk2025-03-02 09:41 2.2M 
[   ]lomiri-location-service-dev-3.3.0-r2.apk2025-03-02 09:41 30K 
[   ]lomiri-location-service-doc-3.3.0-r2.apk2025-03-02 09:41 2.8K 
[   ]lomiri-location-service-lang-3.3.0-r2.apk2025-03-02 09:41 26K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 11:37 95K 
[   ]lomiri-schemas-0.1.8-r0.apk2025-05-09 19:33 10K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 11:37 221K 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 11:37 98K 
[   ]lomiri-sounds-22.02-r1.apk2025-03-13 07:34 18M 
[   ]lomiri-system-settings-1.3.2-r0.apk2025-05-09 19:33 1.1M 
[   ]lomiri-system-settings-lang-1.3.2-r0.apk2025-05-09 19:33 846K 
[   ]lomiri-telephony-service-0.6.1-r0.apk2025-05-09 19:33 972K 
[   ]lomiri-telephony-service-lang-0.6.1-r0.apk2025-05-09 19:33 108K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-09 19:33 63K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-09 19:33 2.7K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-09 19:33 91K 
[   ]lomiri-thumbnailer-3.0.4-r2.apk2025-02-17 13:08 221K 
[   ]lomiri-thumbnailer-dev-3.0.4-r2.apk2025-02-17 13:08 5.0K 
[   ]lomiri-thumbnailer-doc-3.0.4-r2.apk2025-02-17 13:08 1.5K 
[   ]lomiri-trust-store-2.0.2-r8.apk2025-02-27 22:29 1.0M 
[   ]lomiri-trust-store-dev-2.0.2-r8.apk2025-02-27 22:29 9.1K 
[   ]lomiri-trust-store-lang-2.0.2-r8.apk2025-02-27 22:29 28K 
[   ]lomiri-ui-extras-0.7.0-r0.apk2025-04-11 07:48 257K 
[   ]lomiri-ui-extras-lang-0.7.0-r0.apk2025-04-11 07:48 52K 
[   ]lomiri-ui-toolkit-1.3.5110-r0.apk2025-01-10 11:37 1.3M 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r0.apk2025-01-10 11:37 168K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r0.apk2025-01-10 11:37 96K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-09 19:33 43K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-09 19:33 3.3K 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-09 19:33 26K 
[   ]lottieconverter-0.2_git20231219-r0.apk2024-10-25 22:46 12K 
[   ]lottieconverter-doc-0.2_git20231219-r0.apk2024-10-25 22:46 2.4K 
[   ]lout-3.42.2-r0.apk2024-10-25 22:46 1.4M 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 22:46 453K 
[   ]lowjs-1.6.2-r2.apk2024-10-25 22:46 1.3M 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-25 22:46 2.9K 
[   ]lrcalc-2.1-r1.apk2024-10-25 22:46 11K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 22:46 11K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 22:46 26K 
[   ]lsdvd-0.17-r0.apk2024-10-25 22:46 13K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 22:46 2.4K 
[   ]lshell-0.9.18-r11.apk2024-10-25 22:46 36K 
[   ]lshell-doc-0.9.18-r11.apk2024-10-25 22:46 25K 
[   ]lshell-pyc-0.9.18-r11.apk2024-10-25 22:46 35K 
[   ]lsix-1.8.2-r0.apk2024-10-25 22:46 6.5K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 22:46 296K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 22:46 592K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 22:46 1.4K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 22:46 3.4K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 22:46 9.1K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 22:46 1.4K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 22:46 1.4K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 22:46 1.4K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 22:46 19K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 22:46 1.4K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 22:46 1.4K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 22:46 20K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 22:46 89K 
[   ]lua-psl-0.3-r0.apk2024-10-25 22:46 1.4K 
[   ]lua-resty-redis-0.29-r0.apk2025-02-27 07:39 5.2K 
[   ]lua-resty-upload-0.11-r0.apk2025-01-10 14:25 3.5K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 22:46 1.4K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 22:46 59K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 22:46 27K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 22:46 11K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 22:46 18K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 22:46 23K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 22:46 421K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 22:46 80K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 22:46 6.5K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 22:46 24K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 22:46 4.5K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 22:46 59K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 22:46 11K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 22:46 18K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 22:46 23K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 22:46 421K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 22:46 8.6K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 22:46 6.4K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 22:46 23K 
[   ]lua5.3-apk3-3.0.0_rc4_git20250320-r0.apk2025-03-24 12:33 6.1K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 22:46 4.6K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 22:46 59K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 22:46 18K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 22:46 23K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 22:46 421K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 22:46 8.6K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 22:46 6.4K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 22:46 4.5K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 22:46 59K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 22:46 18K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 22:46 23K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 22:46 8.8K 
[   ]luacov-0.15.0-r0.apk2024-10-25 22:46 1.4K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 22:46 1.4K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 22:46 36K 
[   ]luksmeta-9-r0.apk2024-10-25 22:46 14K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 22:46 3.3K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 22:46 5.7K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 22:46 1.5K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 22:46 160K 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 22:46 8.9M 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 22:46 803K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 22:46 12K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 22:46 154K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 22:46 384K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 22:46 194K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 22:46 121K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 22:46 162K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 22:46 94K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 22:46 187K 
[   ]lutgen-0.11.2-r0.apk2024-10-25 22:46 1.6M 
[   ]lutgen-bash-completion-0.11.2-r0.apk2024-10-25 22:46 1.7K 
[   ]lutgen-doc-0.11.2-r0.apk2024-10-25 22:46 4.2K 
[   ]lutgen-fish-completion-0.11.2-r0.apk2024-10-25 22:46 1.7K 
[   ]lutgen-zsh-completion-0.11.2-r0.apk2024-10-25 22:46 1.6K 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 22:46 29K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 22:46 3.2K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 22:46 2.6K 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 22:46 81K 
[   ]lxd-5.0.3-r10.apk2025-04-12 08:14 14M 
[   ]lxd-bash-completion-5.0.3-r10.apk2025-04-12 08:14 5.1K 
[   ]lxd-client-5.0.3-r10.apk2025-04-12 08:14 6.2M 
[   ]lxd-feature-5.20-r10.apk2025-04-12 08:14 67M 
[   ]lxd-feature-bash-completion-5.20-r10.apk2025-04-12 08:14 5.1K 
[   ]lxd-feature-doc-5.20-r10.apk2025-04-12 08:14 1.7K 
[   ]lxd-feature-openrc-5.20-r10.apk2025-04-12 08:14 2.4K 
[   ]lxd-feature-scripts-5.20-r10.apk2025-04-12 08:14 2.1K 
[   ]lxd-openrc-5.0.3-r10.apk2025-04-12 08:14 2.5K 
[   ]lxd-scripts-5.0.3-r10.apk2025-04-12 08:15 25M 
[   ]lxd-vm-5.0.3-r10.apk2025-04-12 08:15 1.3K 
[   ]lxqt-wayland-session-0.1.0-r0.apk2025-01-11 11:32 332K 
[   ]lxqt-wayland-session-doc-0.1.0-r0.apk2025-01-11 11:32 26K 
[   ]lynis-3.1.1-r0.apk2024-10-25 22:46 271K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-10-25 22:46 3.0K 
[   ]lynis-doc-3.1.1-r0.apk2024-10-25 22:46 49K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 16:52 38K 
[   ]lzfse-1.0-r0.apk2024-10-25 22:46 20K 
[   ]lzfse-dev-1.0-r0.apk2024-10-25 22:46 3.4K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 22:46 13K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 22:46 16K 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 22:46 38M 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 22:46 2.0K 
[   ]maddy-0.8.1-r2.apk2025-04-12 08:15 9.8M 
[   ]maddy-doc-0.8.1-r2.apk2025-04-12 08:15 2.4K 
[   ]maddy-openrc-0.8.1-r2.apk2025-04-12 08:15 2.0K 
[   ]maddy-vim-0.8.1-r2.apk2025-04-12 08:15 3.6K 
[   ]mage-1.13.0-r22.apk2025-04-12 08:15 1.5M 
[   ]maildir2rss-0.0.7-r4.apk2025-04-12 08:15 3.5M 
[   ]mailsec-check-0_git20210729-r25.apk2025-04-12 08:15 2.5M 
[   ]makeclapman-2.4.4-r4.apk2025-04-12 08:15 1.3M 
[   ]makeclapman-doc-2.4.4-r4.apk2025-04-12 08:15 4.1K 
[   ]makedumpfile-1.7.7-r0.apk2025-04-22 16:33 158K 
[   ]makedumpfile-doc-1.7.7-r0.apk2025-04-22 16:33 24K 
[   ]makedumpfile-openrc-1.7.7-r0.apk2025-04-22 16:33 2.9K 
[   ]makeself-2.5.0-r0.apk2024-10-25 22:46 13K 
[   ]malcontent-0.12.0-r0.apk2025-05-08 14:21 150K 
[   ]malcontent-dev-0.12.0-r0.apk2025-05-08 14:21 24K 
[   ]malcontent-doc-0.12.0-r0.apk2025-05-08 14:21 45K 
[   ]mangal-4.0.6-r17.apk2025-04-12 08:15 10M 
[   ]mangal-bash-completion-4.0.6-r17.apk2025-04-12 08:15 5.0K 
[   ]mangal-fish-completion-4.0.6-r17.apk2025-04-12 08:15 3.9K 
[   ]mangal-zsh-completion-4.0.6-r17.apk2025-04-12 08:15 4.0K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 22:46 2.8K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 22:46 14K 
[   ]manifest-tool-2.2.0-r0.apk2025-04-13 00:58 3.8M 
[   ]manuals-48.1-r0.apk2025-04-19 21:05 113K 
[   ]mapnik-4.0.6-r0.apk2025-03-29 10:54 12M 
[   ]mapnik-dev-4.0.6-r0.apk2025-03-29 10:54 485K 
[   ]mapnik-doc-4.0.6-r0.apk2025-03-29 10:54 142K 
[   ]mapserver-8.4.0-r1.apk2025-05-07 12:45 1.3M 
[   ]mapserver-dev-8.4.0-r1.apk2025-05-07 12:46 540K 
[   ]markdown-oxide-0.25.1-r0.apk2025-04-14 02:56 1.7M 
[   ]marxan-4.0.7-r1.apk2024-10-25 22:46 656K 
[   ]masky-0.2.0-r1.apk2024-10-25 22:46 278K 
[   ]masky-pyc-0.2.0-r1.apk2024-10-25 22:46 64K 
[   ]mat2-0.13.4-r3.apk2024-10-25 22:46 35K 
[   ]mat2-doc-0.13.4-r3.apk2024-10-25 22:46 7.7K 
[   ]mat2-pyc-0.13.4-r3.apk2024-10-25 22:46 54K 
[   ]materia-20210322-r1.apk2024-10-25 22:46 1.7K 
[   ]materia-chromium-20210322-r1.apk2024-10-25 22:46 5.7K 
[   ]materia-compact-20210322-r1.apk2024-10-25 22:46 1.7K 
[   ]materia-compact-chromium-20210322-r1.apk2024-10-25 22:46 5.7K 
[   ]materia-compact-gnome-shell-20210322-r1.apk2024-10-25 22:46 32K 
[   ]materia-compact-gtk2-20210322-r1.apk2024-10-25 22:46 38K 
[   ]materia-compact-gtk3-20210322-r1.apk2024-10-25 22:46 65K 
[   ]materia-dark-20210322-r1.apk2024-10-25 22:46 1.7K 
[   ]materia-dark-chromium-20210322-r1.apk2024-10-25 22:46 5.7K 
[   ]materia-dark-compact-20210322-r1.apk2024-10-25 22:46 1.7K 
[   ]materia-dark-compact-chromium-20210322-r1.apk2024-10-25 22:46 5.7K 
[   ]materia-dark-compact-gnome-shell-20210322-r1.apk2024-10-25 22:46 32K 
[   ]materia-dark-compact-gtk2-20210322-r1.apk2024-10-25 22:46 38K 
[   ]materia-dark-compact-gtk3-20210322-r1.apk2024-10-25 22:46 41K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 22:46 1.4K 
[   ]materia-dark-gnome-shell-20210322-r1.apk2024-10-25 22:46 31K 
[   ]materia-dark-gtk2-20210322-r1.apk2024-10-25 22:46 38K 
[   ]materia-dark-gtk3-20210322-r1.apk2024-10-25 22:46 41K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 22:46 1.8K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 22:46 30K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 22:46 503K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 22:46 22K 
[   ]materia-gnome-shell-20210322-r1.apk2024-10-25 22:46 31K 
[   ]materia-gtk-theme-20210322-r1.apk2024-10-25 22:46 151K 
[   ]materia-gtk2-20210322-r1.apk2024-10-25 22:46 38K 
[   ]materia-gtk3-20210322-r1.apk2024-10-25 22:46 65K 
[   ]materia-kde-20220823-r0.apk2024-10-25 22:46 19K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 22:46 1.8K 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 22:46 30K 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 22:46 1.7M 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 22:46 1.4K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 22:46 30K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 22:46 20K 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 22:46 21K 
[   ]mautrix-bluesky-0.1.1-r1.apk2025-04-12 08:15 8.4M 
[   ]mautrix-bluesky-doc-0.1.1-r1.apk2025-04-12 08:15 13K 
[   ]mautrix-bluesky-openrc-0.1.1-r1.apk2025-04-12 08:15 2.0K 
[   ]mautrix-discord-0.7.3-r0.apk2025-04-16 19:39 6.3M 
[   ]mautrix-discord-doc-0.7.3-r0.apk2025-04-16 19:39 13K 
[   ]mautrix-discord-openrc-0.7.3-r0.apk2025-04-16 19:39 1.9K 
[   ]mautrix-twitter-0.4.0-r0.apk2025-04-16 19:39 6.2M 
[   ]mautrix-twitter-doc-0.4.0-r0.apk2025-04-16 19:39 13K 
[   ]mautrix-twitter-openrc-0.4.0-r0.apk2025-04-16 19:39 2.0K 
[   ]maxima-5.47.0-r8.apk2024-10-25 22:46 21M 
[   ]maxima-bash-completion-5.47.0-r8.apk2024-10-25 22:46 2.4K 
[   ]maxima-doc-5.47.0-r8.apk2024-10-25 22:46 761K 
[   ]maxima-doc-extra-5.47.0-r8.apk2024-10-25 22:46 10M 
[   ]maxima-emacs-5.47.0-r8.apk2024-10-25 22:46 111K 
[   ]mbrola-3.3-r0.apk2024-10-25 22:46 22K 
[   ]mcjoin-2.11-r0.apk2024-10-25 22:46 24K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 22:46 54K 
[   ]mcman-0.4.5-r0.apk2024-10-25 22:46 2.8M 
[   ]mcman-doc-0.4.5-r0.apk2024-10-25 22:46 14K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 22:46 14K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 22:46 4.0K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 22:46 9.1K 
[   ]mdbook-admonish-1.18.0-r0.apk2024-12-27 02:35 1.0M 
[   ]mdbook-alerts-0.7.0-r0.apk2025-01-11 13:32 749K 
[   ]mdbook-katex-0.9.3-r0.apk2025-04-22 23:43 1.1M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 22:46 964K 
[   ]mdcat-2.7.1-r0.apk2024-12-14 19:06 3.1M 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 19:06 2.2K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 19:06 6.0K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 19:06 2.1K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 19:06 2.4K 
[   ]mdnsd-0.12-r1.apk2024-10-25 22:46 23K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 22:46 14K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 22:46 18K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 22:46 2.1K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 22:46 30K 
[   ]mdp-1.0.15-r1.apk2024-10-25 22:46 17K 
[   ]mdp-doc-1.0.15-r1.apk2024-10-25 22:46 3.7K 
[   ]mediascanner2-0.115-r3.apk2025-03-13 07:34 263K 
[   ]mediastreamer2-5.3.100-r0.apk2025-02-18 11:38 376K 
[   ]mediastreamer2-dev-5.3.100-r0.apk2025-02-18 11:38 110K 
[   ]mediastreamer2-doc-5.3.100-r0.apk2025-02-18 11:38 108K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-07 00:24 12K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 22:46 9.5K 
[   ]meep-1.30.0-r0.apk2025-05-08 12:45 652K 
[   ]meep-dev-1.30.0-r0.apk2025-05-08 12:45 744K 
[   ]megatools-1.11.4.20250411-r0.apk2025-05-03 19:35 63K 
[   ]megatools-bash-completion-1.11.4.20250411-r0.apk2025-05-03 19:35 4.1K 
[   ]megatools-doc-1.11.4.20250411-r0.apk2025-05-03 19:35 52K 
[   ]melange-0.23.8-r0.apk2025-04-20 22:25 16M 
[   ]melange-bash-completion-0.23.8-r0.apk2025-04-20 22:25 6.7K 
[   ]melange-fish-completion-0.23.8-r0.apk2025-04-20 22:25 4.3K 
[   ]melange-zsh-completion-0.23.8-r0.apk2025-04-20 22:25 4.0K 
[   ]meli-0.8.11-r0.apk2025-05-04 16:19 4.7M 
[   ]meli-doc-0.8.11-r0.apk2025-05-04 16:19 48K 
[   ]memdump-1.01-r1.apk2024-10-25 22:46 5.5K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 22:46 3.1K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 22:46 111K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 22:46 290K 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 22:46 42K 
[   ]meson-tools-0.1-r2.apk2024-12-09 17:38 8.4K 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 17:38 8.3K 
[   ]mesonlsp-4.3.7-r2.apk2025-03-12 13:24 2.1M 
[   ]metadata-cleaner-2.5.6-r0.apk2025-01-31 15:32 49K 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-01-31 15:32 1.9M 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-01-31 15:32 66K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 22:46 54K 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 22:46 1.0M 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 22:46 50K 
[   ]mimalloc1-1.9.3-r0.apk2025-05-08 20:49 78K 
[   ]mimalloc1-debug-1.9.3-r0.apk2025-05-08 20:49 204K 
[   ]mimalloc1-dev-1.9.3-r0.apk2025-05-08 20:49 956K 
[   ]mimalloc1-insecure-1.9.3-r0.apk2025-05-08 20:49 72K 
[   ]mimedefang-3.6-r0.apk2025-03-02 13:23 158K 
[   ]mimedefang-doc-3.6-r0.apk2025-03-02 13:23 80K 
[   ]mimeo-2023-r2.apk2024-10-25 22:46 28K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 22:46 41K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 22:46 12K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 22:46 5.3K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 22:46 2.1K 
[   ]minimodem-0.24-r1.apk2024-10-25 22:46 21K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 22:46 5.2K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 22:46 316K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 22:46 1.9K 
[   ]mint-themes-2.1.1-r0.apk2024-10-25 22:46 2.2K 
[   ]mint-themes-doc-2.1.1-r0.apk2024-10-25 22:46 13K 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-24 11:07 22M 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-24 11:07 7.4K 
[   ]mint-x-theme-2.1.1-r0.apk2024-10-25 22:46 2.3K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2024-10-25 22:46 490K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2024-10-25 22:46 603K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2024-10-25 22:46 510K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2024-10-25 22:46 6.0K 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2024-10-25 22:46 35K 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-05 23:20 72M 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-05 23:20 11K 
[   ]mint-y-theme-2.1.1-r0.apk2024-10-25 22:46 4.1K 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2024-10-25 22:46 656K 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2024-10-25 22:46 1.8M 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2024-10-25 22:46 1.6M 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2024-10-25 22:46 58K 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2024-10-25 22:46 207K 
[   ]mir-2.20.2-r0.apk2025-04-18 01:03 2.2M 
[   ]mir-demos-2.20.2-r0.apk2025-04-18 01:03 150K 
[   ]mir-dev-2.20.2-r0.apk2025-04-18 01:03 12M 
[   ]mir-test-tools-2.20.2-r0.apk2025-04-18 01:03 289K 
[   ]mirrorhall-0.1.1-r1.apk2025-01-14 18:05 26KMirrors of several sites
[   ]mjpg-streamer-0_git20210220-r1.apk2024-10-25 22:46 187K 
[   ]mkbrr-1.11.0-r0.apk2025-05-08 14:21 3.9M 
[   ]mkcert-1.4.4-r18.apk2025-04-12 08:15 1.7M 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 22:46 29K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 22:46 1.8K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 22:46 791K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 22:46 1.8K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 22:46 260K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 22:46 1.8K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 22:46 538K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 22:46 4.7K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 22:46 249K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 22:46 1.8K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 22:46 651K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 22:46 1.8K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 22:46 644K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 22:46 1.8K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 22:46 11K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 22:46 1.8K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 22:46 248K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 22:46 1.8K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 22:46 944K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 22:46 1.8K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 22:46 14K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 22:46 3.0K 
[   ]mkosi-25.3-r0.apk2025-02-01 23:38 251K 
[   ]mkosi-pyc-25.3-r0.apk2025-02-01 23:38 393K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 22:46 9.5K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 22:46 2.5K 
[   ]mlir-next-21.0.0_pre20250504-r0.apk2025-05-05 13:57 17M 
[   ]mlir-next-dev-21.0.0_pre20250504-r0.apk2025-05-05 13:57 5.3M 
[   ]mlir-next-libs-21.0.0_pre20250504-r0.apk2025-05-05 13:57 16M 
[   ]mlir-next-static-21.0.0_pre20250504-r0.apk2025-05-05 13:57 44M 
[   ]mlxl-0.1-r0.apk2024-10-25 22:46 5.8K 
[   ]mm-1.4.2-r1.apk2024-10-25 22:46 8.0K 
[   ]mm-common-1.0.5-r0.apk2024-10-25 22:46 473K 
[   ]mm-common-doc-1.0.5-r0.apk2024-10-25 22:46 32K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 22:46 16K 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 22:46 15K 
[   ]mmar-0.2.5-r0.apk2025-04-27 15:40 2.5M 
[   ]mnamer-2.5.5-r1.apk2024-11-18 09:46 31K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-11-18 09:46 60K 
[   ]mobpass-0.2-r6.apk2024-10-25 22:46 18K 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 22:46 5.2K 
[   ]mobroute-0.9.0-r4.apk2025-04-12 08:15 4.5M 
[   ]mobroute-doc-0.9.0-r4.apk2025-04-12 08:15 1.3M 
[   ]mod_dnssd-0.6-r0.apk2024-10-25 22:46 8.7K 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 22:46 332K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 22:46 3.9M 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 22:46 129K 
[   ]moe-1.14-r0.apk2024-10-25 22:46 107K 
[   ]moe-doc-1.14-r0.apk2024-10-25 22:46 19K 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 22:47 114M 
[   ]monetdb-11.33.11-r4.apk2024-10-25 22:47 2.3M 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 22:47 77K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 22:47 321K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 22:47 179K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 22:47 89K 
[   ]monopd-0.10.4-r0.apk2025-01-11 12:15 90K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 12:15 1.7K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 22:47 36K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 22:47 7.1K 
[   ]moosefs-4.56.6-r1.apk2025-05-04 02:24 272K 
[   ]moosefs-cgi-4.56.6-r1.apk2025-05-04 02:24 121K 
[   ]moosefs-cgiserv-4.56.6-r1.apk2025-05-04 02:24 7.8K 
[   ]moosefs-cgiserv-openrc-4.56.6-r1.apk2025-05-04 02:24 2.0K 
[   ]moosefs-chunkserver-4.56.6-r1.apk2025-05-04 02:24 199K 
[   ]moosefs-chunkserver-openrc-4.56.6-r1.apk2025-05-04 02:24 1.7K 
[   ]moosefs-client-4.56.6-r1.apk2025-05-04 02:24 766K 
[   ]moosefs-doc-4.56.6-r1.apk2025-05-04 02:24 95K 
[   ]moosefs-master-4.56.6-r1.apk2025-05-04 02:24 374K 
[   ]moosefs-master-openrc-4.56.6-r1.apk2025-05-04 02:24 1.7K 
[   ]moosefs-metalogger-4.56.6-r1.apk2025-05-04 02:24 41K 
[   ]moosefs-metalogger-openrc-4.56.6-r1.apk2025-05-04 02:24 1.7K 
[   ]moosefs-static-4.56.6-r1.apk2025-05-04 02:24 1.8M 
[   ]motion-4.7.0-r0.apk2024-10-25 22:47 143K 
[   ]motion-doc-4.7.0-r0.apk2024-10-25 22:47 140K 
[   ]motion-lang-4.7.0-r0.apk2024-10-25 22:47 471K 
[   ]motion-openrc-4.7.0-r0.apk2024-10-25 22:47 2.2K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 22:47 15K 
[   ]mpdcron-0.3-r1.apk2024-10-25 22:47 96K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 22:47 95K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 22:47 13K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 22:47 2.9K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 22:47 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 22:47 15K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 22:47 2.3K 
[   ]mqtt2prometheus-0.1.7-r15.apk2025-04-12 08:15 4.3M 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 22:47 5.3K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 22:47 209K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 22:47 10K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 22:47 60K 
[   ]msgpuck-2.0-r1.apk2024-10-25 22:47 1.4K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 22:47 33K 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 22:47 7.6K 
[   ]msh-2.5.0-r11.apk2025-04-12 08:15 2.8M 
[   ]msh-openrc-2.5.0-r11.apk2025-04-12 08:15 2.0K 
[   ]mspdebug-0.25-r1.apk2024-10-25 22:47 212K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 22:47 14K 
[   ]mtg-2.1.7-r20.apk2025-04-12 08:15 4.4M 
[   ]mtg-openrc-2.1.7-r20.apk2025-04-12 08:15 1.9K 
[   ]murex-6.4.2063-r0.apk2025-05-08 12:45 5.8M 
[   ]murex-doc-6.4.2063-r0.apk2025-05-08 12:45 296K 
[   ]muse-4.2.1-r1.apk2024-10-25 22:47 6.2M 
[   ]muse-doc-4.2.1-r1.apk2024-10-25 22:47 4.1M 
[   ]musikcube-3.0.4-r1.apk2025-01-26 21:15 2.3M 
[   ]musikcube-dev-3.0.4-r1.apk2025-01-26 21:15 19K 
[   ]musikcube-plugin-all-3.0.4-r1.apk2025-01-26 21:15 1.3K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r1.apk2025-01-26 21:15 77K 
[   ]musikcube-plugin-mpris-3.0.4-r1.apk2025-01-26 21:15 21K 
[   ]musikcube-plugin-openmpt-3.0.4-r1.apk2025-01-26 21:15 29K 
[   ]musikcube-plugin-server-3.0.4-r1.apk2025-01-26 21:15 371K 
[   ]musikcube-plugin-stockencoders-3.0.4-r1.apk2025-01-26 21:15 20K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r1.apk2025-01-26 21:15 26K 
[   ]musikcube-plugin-taglibreader-3.0.4-r1.apk2025-01-26 21:15 36K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 22:47 12K 
[   ]n30f-2.0-r3.apk2024-10-25 22:47 6.9K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 22:47 2.2K 
[   ]nauty-2.8.9-r0.apk2024-10-25 22:47 5.6M 
[   ]nauty-dev-2.8.9-r0.apk2024-10-25 22:47 5.9M 
[   ]nauty-libs-2.8.9-r0.apk2024-10-25 22:47 2.8M 
[   ]nb-7.17.0-r0.apk2025-04-20 07:18 151K 
[   ]nb-bash-completion-7.17.0-r0.apk2025-04-20 07:18 3.0K 
[   ]nb-doc-7.17.0-r0.apk2025-04-20 07:18 76K 
[   ]nb-fish-completion-7.17.0-r0.apk2025-04-20 07:18 2.8K 
[   ]nb-full-7.17.0-r0.apk2025-04-20 07:18 1.3K 
[   ]nb-zsh-completion-7.17.0-r0.apk2025-04-20 07:18 3.0K 
[   ]nbsdgames-5-r0.apk2024-10-25 22:47 101K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 22:47 9.6K 
[   ]ndpi-4.10-r0.apk2024-10-25 22:47 1.6M 
[   ]ndpi-dev-4.10-r0.apk2024-10-25 22:47 1.5M 
[   ]neard-0.19-r0.apk2024-10-25 22:47 139K 
[   ]neard-dev-0.19-r0.apk2024-10-25 22:47 11K 
[   ]neard-doc-0.19-r0.apk2024-10-25 22:47 5.6K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 22:47 1.7K 
[   ]neko-2.3.0-r0.apk2024-11-20 08:29 458K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 08:29 10K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 08:29 20K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-25 22:47 23K 
[   ]neocmakelsp-0.8.22-r0.apk2025-05-05 10:20 1.7M 
[   ]neocmakelsp-bash-completion-0.8.22-r0.apk2025-05-05 10:20 2.0K 
[   ]neocmakelsp-doc-0.8.22-r0.apk2025-05-05 10:20 5.6K 
[   ]neocmakelsp-fish-completion-0.8.22-r0.apk2025-05-05 10:20 1.6K 
[   ]neocmakelsp-zsh-completion-0.8.22-r0.apk2025-05-05 10:20 1.8K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 22:46 86K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 22:46 6.2K 
[   ]nerdlog-1.0.0-r0.apk2025-04-24 13:00 2.4M 
[   ]netdiscover-0.11-r0.apk2025-03-26 22:58 738K 
[   ]netdiscover-doc-0.11-r0.apk2025-03-26 22:58 4.1K 
[   ]netscanner-0.5.1-r1.apk2024-10-25 22:47 3.6M 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-25 22:47 3.3K 
[   ]netsed-1.3-r3.apk2024-10-25 22:47 9.8K 
[   ]networkmanager-openconnect-1.2.10-r1.apk2025-04-08 00:00 70K 
[   ]networkmanager-openconnect-lang-1.2.10-r1.apk2025-04-08 00:00 1.0M 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 22:47 17K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 22:47 24K 
[   ]nextpnr-0.7-r0.apk2024-10-25 22:47 1.5K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 22:47 25M 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 22:47 761K 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 22:47 1.5M 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 22:47 69M 
[   ]nfcd-1.2.2-r0.apk2025-05-08 12:45 300K 
[   ]nfcd-dev-1.2.2-r0.apk2025-05-08 12:45 24K 
[   ]nfoview-2.1-r0.apk2025-04-13 12:30 39K 
[   ]nfoview-doc-2.1-r0.apk2025-04-13 12:30 8.0K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 22:47 713K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 22:47 21K 
[   ]ngs-0.2.14-r0.apk2024-10-25 22:47 291K 
[   ]ngs-aws-0.2.14-r0.apk2024-10-25 22:47 33K 
[   ]ngs-vim-0.2.14-r0.apk2024-10-25 22:47 4.9K 
[   ]nicotine-plus-3.3.10-r0.apk2025-05-01 20:06 1.6M 
[   ]nicotine-plus-doc-3.3.10-r0.apk2025-05-01 20:06 2.6K 
[   ]nicotine-plus-lang-3.3.10-r0.apk2025-05-01 20:06 757K 
[   ]nicotine-plus-pyc-3.3.10-r0.apk2025-05-01 20:06 789K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 22:47 556K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 22:47 190K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 22:47 15K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 22:47 2.9K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 22:47 6.9K 
[   ]nlopt-2.10.0-r0.apk2025-02-06 05:49 188K 
[   ]nlopt-dev-2.10.0-r0.apk2025-02-06 05:49 12K 
[   ]nlopt-doc-2.10.0-r0.apk2025-02-06 05:49 23K 
[   ]nlopt-guile-2.10.0-r0.apk2025-02-06 05:49 42K 
[   ]nlopt-octave-2.10.0-r0.apk2025-02-06 05:49 27K 
[   ]nm-tray-0.5.0-r0.apk2024-10-25 22:47 99K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-10-25 22:47 27K 
[   ]nmap-parse-output-1.5.1-r0.apk2024-10-25 22:47 22K 
[   ]nmap-parse-output-bash-completion-1.5.1-r0.apk2024-10-25 22:47 2.0K 
[   ]nmap-parse-output-doc-1.5.1-r0.apk2024-10-25 22:47 808K 
[   ]nmon-16q-r0.apk2024-10-25 22:47 73K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 22:47 426K 
[   ]node-libpg-query-13.1.2-r5.apk2024-10-25 22:47 19K 
[   ]noggin-model-0.1-r0.apk2024-10-25 22:47 12M 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 22:47 1.7M 
[   ]noice-0.8-r1.apk2024-10-25 22:47 9.7K 
[   ]noice-doc-0.8-r1.apk2024-10-25 22:47 3.3K 
[   ]nom-2.8.0-r2.apk2025-04-12 08:15 6.8M 
[   ]nom-doc-2.8.0-r2.apk2025-04-12 08:15 4.0K 
[   ]normaliz-3.10.4-r1.apk2025-05-04 20:43 41K 
[   ]normaliz-dev-3.10.4-r1.apk2025-05-04 20:43 73K 
[   ]normaliz-libs-3.10.4-r1.apk2025-05-04 20:43 2.6M 
[   ]notification-daemon-3.20.0-r0.apk2024-10-25 22:47 61K 
[   ]nsnake-3.0.0-r0.apk2024-10-25 22:47 8.9K 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 22:47 2.9K 
[   ]nsq-1.3.0-r9.apk2025-04-12 08:15 25M 
[   ]ntpd-rs-1.4.0-r0.apk2024-12-14 22:14 2.4M 
[   ]ntpd-rs-doc-1.4.0-r0.apk2024-12-14 22:14 23K 
[   ]ntpd-rs-openrc-1.4.0-r0.apk2024-12-14 22:14 1.8K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 22:47 220K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 22:47 42K 
[   ]nullmailer-2.2-r4.apk2024-10-25 22:47 128K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 22:47 10K 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 22:47 1.8K 
[   ]nuzzle-1.6-r0.apk2025-01-17 15:26 12K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-17 15:26 3.2K 
[   ]nvidia-src-535.86.05-r1.apk2025-01-09 12:46 12M 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 22:47 55K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 22:47 7.8K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 22:47 4.2K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 22:47 3.3K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 22:47 1.8K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 22:47 10K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 22:47 3.5K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 22:47 2.6K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 22:47 3.5K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 22:47 2.0K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 22:47 3.8K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 22:47 2.0K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 22:47 10K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 22:47 2.8K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 22:47 46K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 22:47 21K 
[   ]nvim-treesitter-0.9.3-r0.apk2024-11-04 04:05 388K 
[   ]nvim-treesitter-doc-0.9.3-r0.apk2024-11-04 04:05 30K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 04:58 27K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 04:58 7.1K 
[   ]nvtop-3.2.0-r0.apk2025-04-30 01:39 65K 
[   ]nvtop-doc-3.2.0-r0.apk2025-04-30 01:39 3.5K 
[   ]nwg-bar-0.1.6-r9.apk2025-04-12 08:15 1.5M 
[   ]nwg-displays-0.3.13-r1.apk2024-10-25 22:47 24K 
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-10-25 22:47 35K 
[   ]nwg-dock-0.3.9-r10.apk2025-04-12 08:15 1.7M 
[   ]nwg-panel-0.10.2-r0.apk2025-05-01 10:34 279K 
[   ]nwg-panel-doc-0.10.2-r0.apk2025-05-01 10:34 4.3K 
[   ]nwg-panel-pyc-0.10.2-r0.apk2025-05-01 10:34 263K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-10-25 22:47 71K 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-10-25 22:47 1.7K 
[   ]nzbget-24.8-r0.apk2025-03-19 12:46 4.9M 
[   ]nzbget-openrc-24.8-r0.apk2025-03-19 12:46 2.0K 
[   ]oauth2-proxy-7.8.1-r1.apk2025-04-12 08:15 8.4M 
[   ]oauth2-proxy-openrc-7.8.1-r1.apk2025-04-12 08:15 2.1K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 22:47 265K 
[   ]oblibs-0.3.2.1-r0.apk2025-01-25 17:18 30K 
[   ]oblibs-dev-0.3.2.1-r0.apk2025-01-25 17:18 76K 
[   ]ocaml5-gccjit-0.3.2.1_git20240713-r0.apk2025-05-01 10:32 1.2M 
[   ]ocaml5-gccjit-doc-0.3.2.1_git20240713-r0.apk2025-05-01 10:32 3.8K 
[   ]ocaml5-llvm-next-21.0.0_pre20250504-r0.apk2025-05-05 13:57 734K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 22:47 1.2M 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 22:47 45K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 22:47 69K 
[   ]octoprint-1.10.3-r0.apk2024-12-25 23:04 3.0M 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 22:47 4.7K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 22:47 3.3K 
[   ]octoprint-filecheck-2024.3.27-r1.apk2024-10-25 22:47 28K 
[   ]octoprint-filecheck-pyc-2024.3.27-r1.apk2024-10-25 22:47 11K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 22:47 29K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 22:47 18K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 23:04 1.7K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 22:47 31K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 22:47 13K 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 23:04 1.2M 
[   ]ode-0.16.6-r0.apk2025-01-19 12:57 889K 
[   ]odio-sacd-24.8.1-r0.apk2025-04-13 09:59 5.2K 
[   ]odio-sacd-doc-24.8.1-r0.apk2025-04-13 09:59 2.8K 
[   ]oil-0.21.0-r0.apk2024-10-25 22:47 1.5M 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 22:47 7.0K 
[   ]oils-for-unix-0.28.0-r0.apk2025-03-20 06:44 657K 
[   ]oils-for-unix-bash-0.28.0-r0.apk2025-03-20 06:44 1.5K 
[   ]oils-for-unix-binsh-0.28.0-r0.apk2025-03-20 06:44 1.5K 
[   ]oils-for-unix-doc-0.28.0-r0.apk2025-03-20 06:44 7.0K 
[   ]ol-2.6-r0.apk2025-05-01 08:25 1.0M 
[   ]ol-dev-2.6-r0.apk2025-05-01 08:25 16K 
[   ]ol-doc-2.6-r0.apk2025-05-01 08:25 2.6K 
[   ]olsrd-0.9.8-r3.apk2024-10-25 22:47 168K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 22:47 25K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 22:47 1.9K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 22:47 189K 
[   ]oo7-0.4.3-r1.apk2025-04-20 22:47 1.0M 
[   ]oo7-doc-0.4.3-r1.apk2025-04-20 22:47 2.3K 
[   ]oo7-portal-0.4.3-r1.apk2025-04-20 22:47 1.4M 
[   ]oo7-portal-openrc-0.4.3-r1.apk2025-04-20 22:47 1.7K 
[   ]oo7-server-0.4.3-r1.apk2025-04-20 22:47 1.0M 
[   ]oo7-server-openrc-0.4.3-r1.apk2025-04-20 22:47 1.7K 
[   ]opcr-policy-0.3.0-r3.apk2025-04-12 08:15 8.5M 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 22:47 10M 
[   ]opendht-3.1.11-r0.apk2025-01-27 21:57 186K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 21:57 71K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 21:57 3.0K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 21:57 583K 
[   ]openfire-4.8.1-r1.apk2024-12-03 15:38 46M 
[   ]openfire-doc-4.8.1-r1.apk2024-12-03 15:38 3.8M 
[   ]openfire-openrc-4.8.1-r1.apk2024-12-03 15:38 1.9K 
[   ]openfire-plugins-4.8.1-r1.apk2024-12-03 15:38 72K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 22:38 41K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 22:38 6.3K 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 22:47 2.0M 
[   ]openjdk22-22.0.2_p9-r3.apk2025-02-17 13:08 1.5K 
[   ]openjdk22-demos-22.0.2_p9-r3.apk2025-02-17 13:08 5.2M 
[   ]openjdk22-doc-22.0.2_p9-r3.apk2025-02-17 13:08 186K 
[   ]openjdk22-jdk-22.0.2_p9-r3.apk2025-02-17 13:08 6.6M 
[   ]openjdk22-jmods-22.0.2_p9-r3.apk2025-02-17 13:08 69M 
[   ]openjdk22-jre-22.0.2_p9-r3.apk2025-02-17 13:08 1.1M 
[   ]openjdk22-jre-headless-22.0.2_p9-r3.apk2025-02-17 13:08 56M 
[   ]openjdk22-src-22.0.2_p9-r3.apk2025-02-17 13:08 46M 
[   ]openjdk22-static-libs-22.0.2_p9-r3.apk2025-02-17 13:08 18M 
[   ]openjdk23-23.0.2_p7-r1.apk2025-02-17 13:08 1.5K 
[   ]openjdk23-demos-23.0.2_p7-r1.apk2025-02-17 13:08 5.2M 
[   ]openjdk23-doc-23.0.2_p7-r1.apk2025-02-17 13:08 187K 
[   ]openjdk23-jdk-23.0.2_p7-r1.apk2025-02-17 13:08 6.8M 
[   ]openjdk23-jmods-23.0.2_p7-r1.apk2025-02-17 13:08 73M 
[   ]openjdk23-jre-23.0.2_p7-r1.apk2025-02-17 13:08 1.1M 
[   ]openjdk23-jre-headless-23.0.2_p7-r1.apk2025-02-17 13:09 61M 
[   ]openjdk23-src-23.0.2_p7-r1.apk2025-02-17 13:09 46M 
[   ]openjdk23-static-libs-23.0.2_p7-r1.apk2025-02-17 13:09 19M 
[   ]openocd-esp32-0_git20250422-r0.apk2025-04-27 15:40 1.9M 
[   ]openocd-esp32-dev-0_git20250422-r0.apk2025-04-27 15:40 3.7K 
[   ]openocd-esp32-doc-0_git20250422-r0.apk2025-04-27 15:40 3.2K 
[   ]openocd-esp32-udev-rules-0_git20250422-r0.apk2025-04-27 15:40 3.5K 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 22:47 1.7M 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 22:47 1.5K 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 22:47 4.2M 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 22:47 3.4K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 22:47 3.3K 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 22:47 3.4K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 22:47 1.5M 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 22:47 3.7K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 22:47 3.3K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 22:47 3.3K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 22:47 60K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 22:47 18K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 22:47 102K 
[   ]openslide-3.4.1-r3.apk2024-10-25 22:47 81K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 22:47 6.9K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 22:47 4.9K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 22:47 9.2K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 22:47 148K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 22:47 9.4K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 22:47 2.0K 
[   ]opentelemetry-cpp-1.19.0-r2.apk2025-04-11 20:27 593K 
[   ]opentelemetry-cpp-dev-1.19.0-r2.apk2025-04-11 20:27 494K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.19.0-r2.apk2025-04-11 20:27 43K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.19.0-r2.apk2025-04-11 20:27 55K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.19.0-r2.apk2025-04-11 20:27 84K 
[   ]opentelemetry-cpp-exporter-zipkin-1.19.0-r2.apk2025-04-11 20:27 48K 
[   ]openvpn3-3.8.5-r1.apk2025-02-17 13:09 397K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-17 13:09 667K 
[   ]openwsman-2.8.1-r0.apk2025-02-17 13:09 49K 
[   ]openwsman-dev-2.8.1-r0.apk2025-02-17 13:09 56K 
[   ]openwsman-doc-2.8.1-r0.apk2025-02-17 13:09 2.3K 
[   ]openwsman-libs-2.8.1-r0.apk2025-02-17 13:09 333K 
[   ]opkg-0.7.0-r0.apk2024-10-25 22:47 10K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 22:47 179K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 22:47 7.7K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 22:47 80K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 22:47 25K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 22:47 3.6K 
[   ]opmsg-1.84-r1.apk2024-10-25 22:47 271K 
[   ]orage-4.20.1-r0.apk2025-04-08 13:49 577K 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 13:49 1.2M 
[   ]os-installer-0.4.3-r0.apk2025-03-19 12:46 83K 
[   ]osmctools-0.9-r0.apk2024-10-25 22:47 120K 
[   ]ostui-1.0.3-r1.apk2025-04-12 08:15 4.7M 
[   ]ostui-doc-1.0.3-r1.apk2025-04-12 08:15 28K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 22:47 102K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 22:47 48K 
[   ]otpclient-4.0.2-r0.apk2024-10-25 22:47 118K 
[   ]otpclient-doc-4.0.2-r0.apk2024-10-25 22:47 3.6K 
[   ]otrs-6.0.48-r2.apk2024-10-25 22:47 29M 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 22:47 4.0K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 22:47 2.4K 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 22:47 3.9M 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 22:47 795K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 22:47 1.8K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 22:47 1.8K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 22:47 1.9K 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 22:47 107K 
[   ]ovn-24.03.1-r0.apk2024-10-25 22:47 7.2M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 22:48 26M 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 22:48 23M 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 22:48 512K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 22:48 4.1K 
[   ]ovos-dinkum-listener-0.4.0-r0.apk2025-05-08 12:45 109K 
[   ]ovos-dinkum-listener-pyc-0.4.0-r0.apk2025-05-08 12:45 57K 
[   ]ovos-gui-1.1.0-r0.apk2024-11-25 13:41 31K 
[   ]ovos-gui-pyc-1.1.0-r0.apk2024-11-25 13:41 38K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 09:56 10K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 09:56 6.7K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 22:48 12K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 22:48 6.5K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 22:48 32M 
[   ]p0f-3.09b-r3.apk2024-10-25 22:48 77K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 22:48 25K 
[   ]p910nd-0.97-r2.apk2024-10-25 22:48 7.9K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 22:48 3.2K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 22:48 2.1K 
[   ]pacoloco-1.7-r0.apk2025-04-19 21:05 4.7M 
[   ]pacoloco-doc-1.7-r0.apk2025-04-19 21:05 2.2K 
[   ]pacoloco-openrc-1.7-r0.apk2025-04-19 21:05 1.9K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 22:48 784K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 22:48 3.6K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 22:48 18K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 22:48 23K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 22:48 24K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 22:48 9.0K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 22:48 9.2K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 22:48 2.9K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 22:48 45K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 22:48 6.4K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 22:48 83K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 22:48 1.7K 
[   ]paperde-0.2.1-r2.apk2024-10-25 22:48 644K 
[   ]paperde-dev-0.2.1-r2.apk2024-10-25 22:48 5.2K 
[   ]paperkey-1.6-r2.apk2024-10-25 22:48 16K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 22:48 4.5K 
[   ]paprefs-1.2-r2.apk2024-11-22 23:05 30K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 23:05 38K 
[   ]par-1.53.0-r1.apk2024-10-25 22:48 15K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 22:48 30K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-08 14:25 173K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-08 14:25 5.9K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 22:48 227K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 22:48 25K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 22:48 49K 
[   ]parse-changelog-0.6.8-r0.apk2024-10-25 22:48 573K 
[   ]pash-2.3.0-r2.apk2024-10-25 22:48 4.3K 
[   ]pass2csv-1.1.1-r1.apk2024-10-25 22:48 8.3K 
[   ]pass2csv-pyc-1.1.1-r1.apk2024-10-25 22:48 7.4K 
[   ]pastel-0.10.0-r0.apk2024-10-25 22:48 433K 
[   ]pastel-bash-completion-0.10.0-r0.apk2024-10-25 22:48 3.0K 
[   ]pastel-fish-completion-0.10.0-r0.apk2024-10-25 22:48 3.2K 
[   ]pastel-zsh-completion-0.10.0-r0.apk2024-10-25 22:48 4.9K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 22:48 46K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 22:48 3.3K 
[   ]pathvector-6.3.2-r12.apk2025-04-12 08:15 3.8M 
[   ]pcl-1.14.1-r0.apk2025-02-17 13:09 496K 
[   ]pcl-dev-1.14.1-r0.apk2025-02-17 13:09 387K 
[   ]pcl-libs-1.14.1-r0.apk2025-02-17 13:09 1.3M 
[   ]pdal-python-plugins-1.6.2-r0.apk2025-01-13 07:37 240K 
[   ]pdf2svg-0.2.3-r1.apk2024-10-25 22:48 4.4K 
[   ]pdfcpu-0.9.1-r4.apk2025-04-12 08:15 4.4M 
[   ]pdfcrack-0.20-r0.apk2024-10-25 22:48 33K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 22:14 64K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 22:14 67K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 22:14 3.7K 
[   ]peervpn-0.044-r5.apk2024-10-25 22:48 50K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 22:48 1.8K 
[   ]peg-0.1.18-r1.apk2024-10-25 22:48 39K 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 22:48 14K 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 22:48 1.2M 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 22:48 16K 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 22:48 1.8M 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 22:48 298K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 22:48 8.1K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 22:48 17K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 22:48 9.6K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 22:48 29K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 22:48 5.6K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 22:48 5.1K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 22:48 6.1K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 22:48 4.6K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 22:48 79K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 22:48 148K 
[   ]perl-algorithm-permute-0.17-r0.apk2024-10-25 22:48 12K 
[   ]perl-algorithm-permute-doc-0.17-r0.apk2024-10-25 22:48 5.0K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 22:48 5.6K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 22:48 5.6K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 22:48 5.1K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 22:48 4.0K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 22:48 5.1K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 22:48 5.4K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 22:48 12K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 22:48 10K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 22:48 16K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 22:48 6.8K 
[   ]perl-autobox-3.0.2-r0.apk2024-10-25 22:48 19K 
[   ]perl-autobox-doc-3.0.2-r0.apk2024-10-25 22:48 8.9K 
[   ]perl-b-hooks-op-check-0.22-r0.apk2024-10-25 22:48 6.6K 
[   ]perl-b-hooks-op-check-doc-0.22-r0.apk2024-10-25 22:48 3.7K 
[   ]perl-b-utils-0.27-r0.apk2025-04-27 15:40 19K 
[   ]perl-b-utils-doc-0.27-r0.apk2025-04-27 15:40 9.4K 
[   ]perl-barcode-zbar-0.10-r3.apk2024-10-25 22:48 30K 
[   ]perl-barcode-zbar-doc-0.10-r3.apk2024-10-25 22:48 13K 
[   ]perl-bareword-filehandles-0.007-r0.apk2024-10-25 22:48 5.9K 
[   ]perl-bareword-filehandles-doc-0.007-r0.apk2024-10-25 22:48 3.2K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 22:48 3.8K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 22:48 3.6K 
[   ]perl-bsd-resource-1.2911-r10.apk2024-10-25 22:48 19K 
[   ]perl-bsd-resource-doc-1.2911-r10.apk2024-10-25 22:48 7.9K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 22:48 14K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 22:48 12K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 22:48 2.9K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 22:48 3.2K 
[   ]perl-cairo-1.109-r4.apk2024-10-25 22:48 76K 
[   ]perl-cairo-doc-1.109-r4.apk2024-10-25 22:48 14K 
[   ]perl-cairo-gobject-1.005-r4.apk2024-10-25 22:48 6.5K 
[   ]perl-cairo-gobject-doc-1.005-r4.apk2024-10-25 22:48 2.9K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-13 16:57 9.0K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-13 16:57 7.8K 
[   ]perl-carp-repl-0.18-r0.apk2025-04-27 15:40 6.0K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-04-27 15:40 5.9K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-19 15:53 3.8K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-19 15:53 4.0K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-03-31 17:46 25K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-03-31 17:46 27K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-07 10:08 4.3K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-07 10:08 5.7K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 07:41 8.6K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 07:41 6.8K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 07:42 5.0K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 07:42 4.4K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-20 17:04 54K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-20 17:04 11K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 07:23 2.7K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 07:23 375K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-13 16:57 6.5K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-13 16:57 12K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-03-29 11:16 32K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-03-29 11:16 56K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-20 17:04 5.4K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-20 17:04 10K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 10:55 4.1K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 10:55 13K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 13:57 14K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 13:57 25K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 06:32 4.9K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 06:32 4.9K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-20 17:04 8.7K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-20 17:04 7.4K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-19 12:46 150K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-19 12:46 216K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 10:55 9.1K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 10:55 11K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-12 17:52 14K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-12 17:52 13K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-07 10:08 4.3K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-07 10:08 4.0K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-01 08:44 3.4K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-01 08:44 3.6K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-04-27 17:07 3.3K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-04-27 17:07 3.6K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-07 10:08 11K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-07 10:08 24K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 22:48 6.8K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 22:48 6.1K 
[   ]perl-cgi-simple-1.281-r0.apk2025-03-12 15:11 56K 
[   ]perl-cgi-simple-doc-1.281-r0.apk2025-03-12 15:11 43K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-14 17:31 7.8K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-14 17:31 6.8K 
[   ]perl-check-unitcheck-0.13-r1.apk2024-10-25 22:48 6.0K 
[   ]perl-check-unitcheck-doc-0.13-r1.apk2024-10-25 22:48 3.6K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 22:48 12K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 22:48 7.5K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 22:48 9.4K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-14 17:31 5.1K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-14 17:31 4.7K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 22:48 5.5K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 22:48 5.3K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 22:48 9.3K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 22:48 3.9K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 22:48 4.1K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 05:55 13K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 05:55 12K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 22:48 7.3K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 22:48 5.1K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-19 12:46 9.5K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-19 12:46 7.4K 
[   ]perl-conf-libconfig-1.0.3-r0.apk2024-10-25 22:48 24K 
[   ]perl-conf-libconfig-doc-1.0.3-r0.apk2024-10-25 22:48 5.4K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 22:48 7.4K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 22:48 6.9K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 22:48 8.7K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 22:48 7.0K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 22:48 3.8K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 22:48 4.2K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-10-25 22:48 14K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-10-25 22:48 18K 
[   ]perl-crypt-blowfish-2.14-r0.apk2025-04-30 18:21 13K 
[   ]perl-crypt-blowfish-doc-2.14-r0.apk2025-04-30 18:21 4.0K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 22:48 11K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 22:48 8.8K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 22:48 6.9K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 22:48 6.3K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 22:48 23K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 22:48 33K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 22:48 12K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 22:48 8.2K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 22:48 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 22:48 15K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 22:48 4.9K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 22:48 5.3K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 22:48 9.7K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 22:48 8.4K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-25 22:48 5.4K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-25 22:48 4.2K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 05:55 163K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 05:55 301K 
[   ]perl-data-checks-0.10-r0.apk2024-10-25 22:48 23K 
[   ]perl-data-checks-doc-0.10-r0.apk2024-10-25 22:48 8.2K 
[   ]perl-data-clone-0.006-r0.apk2025-04-28 16:56 10K 
[   ]perl-data-clone-doc-0.006-r0.apk2025-04-28 16:56 4.5K 
[   ]perl-data-dump-streamer-2.42-r0.apk2025-04-27 15:40 51K 
[   ]perl-data-dump-streamer-doc-2.42-r0.apk2025-04-27 15:40 17K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 22:48 5.8K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 22:48 5.4K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 22:48 8.8K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 22:48 5.8K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-19 15:53 9.8K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-19 15:53 8.3K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 22:48 23K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 22:48 29K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 22:48 14K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 22:48 9.3K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 16:08 3.2K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 16:08 3.8K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 14:28 18K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 14:28 12K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 16:08 4.4K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 16:08 4.1K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 22:48 2.5K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 22:48 7.6K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 22:48 12K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 22:48 15K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 22:48 5.9K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 22:48 5.3K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 18:28 355K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 07:01 7.9K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 07:01 9.5K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 18:28 421K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-17 05:16 48K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-17 05:16 121K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 07:37 15K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 07:37 22K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 22:48 4.3K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 22:48 7.5K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 22:48 8.0K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 22:48 8.4K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 07:37 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 07:37 18K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 22:48 11K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 22:48 6.6K 
[   ]perl-devel-leak-0.03-r13.apk2024-10-25 22:48 6.9K 
[   ]perl-devel-leak-doc-0.03-r13.apk2024-10-25 22:48 3.3K 
[   ]perl-devel-refcount-0.10-r1.apk2024-10-25 22:48 6.1K 
[   ]perl-devel-refcount-doc-0.10-r1.apk2024-10-25 22:48 4.2K 
[   ]perl-devel-repl-1.003029-r0.apk2025-04-24 16:50 28K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-04-24 16:50 60K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-04-27 15:40 3.7K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-04-27 15:40 3.4K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 22:48 5.6K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 22:48 5.2K 
[   ]perl-digest-crc-0.24-r1.apk2024-10-25 22:48 9.4K 
[   ]perl-digest-crc-doc-0.24-r1.apk2024-10-25 22:48 3.2K 
[   ]perl-dns-unbound-0.29-r1.apk2024-10-25 22:48 24K 
[   ]perl-dns-unbound-anyevent-0.29-r1.apk2024-10-25 22:48 2.3K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk2024-10-25 22:48 1.9K 
[   ]perl-dns-unbound-doc-0.29-r1.apk2024-10-25 22:48 15K 
[   ]perl-dns-unbound-ioasync-0.29-r1.apk2024-10-25 22:48 2.4K 
[   ]perl-dns-unbound-mojo-0.29-r1.apk2024-10-25 22:48 2.7K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 22:48 7.6K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 22:48 13K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 22:48 3.9K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 22:48 3.8K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 22:48 6.1K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 22:48 4.8K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 10:55 25K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 10:55 42K 
[   ]perl-ev-hiredis-0.07-r1.apk2024-10-25 22:48 13K 
[   ]perl-ev-hiredis-doc-0.07-r1.apk2024-10-25 22:48 4.2K 
[   ]perl-expect-1.38-r0.apk2025-04-19 21:05 32K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 21:05 20K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 06:38 5.5K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 06:38 4.9K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-10-25 22:48 175K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 22:48 43K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 22:48 21K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 22:48 3.8K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 22:48 3.7K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 22:48 20K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 22:48 29K 
[   ]perl-ffi-platypus-2.10-r0.apk2024-12-20 06:38 179K 
[   ]perl-ffi-platypus-doc-2.10-r0.apk2024-12-20 06:38 146K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 22:48 5.2K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 22:48 5.1K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-20 17:04 12K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-20 17:04 14K 
[   ]perl-file-mmagic-xs-0.09008-r4.apk2024-10-25 22:48 30K 
[   ]perl-file-mmagic-xs-doc-0.09008-r4.apk2024-10-25 22:48 4.2K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 22:48 7.5K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 22:48 12K 
[   ]perl-flowd-0.9.1-r10.apk2024-10-25 22:48 22K 
[   ]perl-flowd-doc-0.9.1-r10.apk2024-10-25 22:48 3.2K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 22:48 9.8K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 22:48 5.6K 
[   ]perl-full-1.004-r0.apk2024-10-25 22:48 7.1K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 22:48 10K 
[   ]perl-future-asyncawait-hooks-0.02-r0.apk2024-10-25 22:48 8.2K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r0.apk2024-10-25 22:48 3.2K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 22:48 9.2K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 22:48 16K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 22:48 9.6K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 22:48 9.1K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 22:48 4.1K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 22:48 4.3K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 22:48 27K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 22:48 20K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 10:40 15K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 10:40 11K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 22:48 23K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 22:48 17K 
[   ]perl-git-raw-0.90-r3.apk2025-03-14 00:01 172K 
[   ]perl-git-raw-doc-0.90-r3.apk2025-03-14 00:01 117K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 22:48 16K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 22:48 32K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 22:48 5.4K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 22:48 4.8K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 22:48 15K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 22:48 22K 
[   ]perl-glib-object-introspection-0.051-r1.apk2024-10-25 22:48 60K 
[   ]perl-glib-object-introspection-doc-0.051-r1.apk2024-10-25 22:48 11K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 22:48 7.1K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 22:48 7.8K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 22:48 14K 
[   ]perl-gtk2-1.24993-r6.apk2024-10-25 22:48 874K 
[   ]perl-gtk2-doc-1.24993-r6.apk2024-10-25 22:48 670K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 22:48 13K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 22:48 7.3K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 22:48 66K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 22:48 81K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 22:48 20K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 22:48 9.1K 
[   ]perl-guard-1.023-r9.apk2024-10-25 22:48 8.5K 
[   ]perl-guard-doc-1.023-r9.apk2024-10-25 22:48 5.3K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 22:48 9.8K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 22:48 19K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-01 08:44 135K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-01 08:44 323K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 22:48 348K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 22:48 472K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 22:48 6.2K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 22:48 4.1K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 22:48 18K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 22:48 9.9K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 22:48 3.1K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 22:48 3.4K 
[   ]perl-http-xsheaders-0.400005-r1.apk2024-10-25 22:48 21K 
[   ]perl-http-xsheaders-doc-0.400005-r1.apk2024-10-25 22:48 6.3K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 22:48 4.2K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 22:48 4.1K 
[   ]perl-indirect-0.39-r1.apk2024-10-25 22:48 16K 
[   ]perl-indirect-doc-0.39-r1.apk2024-10-25 22:48 6.3K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 22:48 75K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 22:48 68K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 22:48 5.7K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 22:48 3.1K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 22:48 3.5K 
[   ]perl-json-path-1.0.6-r0.apk2024-10-25 22:48 16K 
[   ]perl-json-path-doc-1.0.6-r0.apk2024-10-25 22:48 13K 
[   ]perl-json-validator-5.15-r0.apk2025-03-19 12:46 58K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-19 12:46 34K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-04-27 15:40 7.5K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-04-27 15:40 7.6K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 22:48 3.9K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 22:48 4.0K 
[   ]perl-libapreq2-2.17-r2.apk2024-10-25 22:48 103K 
[   ]perl-libapreq2-dev-2.17-r2.apk2024-10-25 22:48 81K 
[   ]perl-libapreq2-doc-2.17-r2.apk2024-10-25 22:48 37K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 15:15 305K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 15:15 571K 
[   ]perl-linux-pid-0.04-r13.apk2024-10-25 22:48 4.7K 
[   ]perl-linux-pid-doc-0.04-r13.apk2024-10-25 22:48 3.0K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 22:48 9.9K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 22:48 12K 
[   ]perl-list-binarysearch-xs-0.09-r1.apk2024-10-25 22:48 13K 
[   ]perl-list-binarysearch-xs-doc-0.09-r1.apk2024-10-25 22:48 8.1K 
[   ]perl-list-keywords-0.11-r0.apk2024-10-25 22:48 15K 
[   ]perl-list-keywords-doc-0.11-r0.apk2024-10-25 22:48 5.5K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 22:48 10K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 22:48 7.2K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 22:48 11K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 22:48 12K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 22:48 4.2K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 22:48 3.9K 
[   ]perl-lv-0.006-r0.apk2024-10-25 22:48 4.0K 
[   ]perl-lv-backend-magic-0.006-r0.apk2024-10-25 22:48 2.1K 
[   ]perl-lv-backend-sentinel-0.006-r0.apk2024-10-25 22:48 2.0K 
[   ]perl-lv-doc-0.006-r0.apk2024-10-25 22:48 4.0K 
[   ]perl-lwp-online-1.08-r0.apk2024-10-25 22:48 6.1K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-10-25 22:48 5.7K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 22:48 6.4K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 22:48 5.6K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 22:48 22K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 22:48 33K 
[   ]perl-math-int64-0.57-r1.apk2024-10-25 22:48 29K 
[   ]perl-math-int64-doc-0.57-r1.apk2024-10-25 22:48 10K 
[   ]perl-math-libm-1.00-r14.apk2024-10-25 22:48 10K 
[   ]perl-math-libm-doc-1.00-r14.apk2024-10-25 22:48 3.1K 
[   ]perl-math-random-0.72-r0.apk2024-10-25 22:48 36K 
[   ]perl-math-random-doc-0.72-r0.apk2024-10-25 22:48 11K 
[   ]perl-math-random-isaac-xs-1.004-r8.apk2024-10-25 22:48 7.9K 
[   ]perl-math-random-isaac-xs-doc-1.004-r8.apk2024-10-25 22:48 3.8K 
[   ]perl-mce-1.901-r0.apk2025-01-03 16:52 134K 
[   ]perl-mce-doc-1.901-r0.apk2025-01-03 16:52 170K 
[   ]perl-minion-10.31-r0.apk2024-10-25 22:48 1.5M 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-10-25 22:48 9.6K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 22:48 10K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 22:48 6.6K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 22:48 10K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 22:48 6.8K 
[   ]perl-minion-doc-10.31-r0.apk2024-10-25 22:48 49K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 22:48 3.4K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 22:48 3.8K 
[   ]perl-module-generic-0.43.3-r0.apk2025-04-23 06:51 272K 
[   ]perl-module-generic-doc-0.43.3-r0.apk2025-04-23 06:51 214K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 22:48 4.7K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 22:48 4.4K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 22:48 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 22:48 25K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 22:48 16K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 22:48 19K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-19 12:46 28K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-19 12:46 33K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-16 05:04 4.1K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-16 05:04 4.2K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-16 05:04 5.4K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-16 05:04 5.3K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-16 05:04 14K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-16 05:04 25K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-19 12:46 8.6K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-19 12:46 22K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-04-21 19:33 6.0K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-04-21 19:33 5.5K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-01 08:44 2.8K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-01 08:44 3.3K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-15 15:08 7.7K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-15 15:08 24K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-16 05:04 3.8K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-16 05:04 4.2K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-01 08:44 4.9K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-01 08:44 4.2K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-04-30 18:21 3.2K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-04-30 18:21 3.7K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-15 15:08 4.0K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-15 15:08 4.1K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-15 15:08 3.0K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-15 15:08 3.6K 
[   ]perl-multidimensional-0.014-r0.apk2024-10-25 22:48 4.8K 
[   ]perl-multidimensional-doc-0.014-r0.apk2024-10-25 22:48 3.1K 
[   ]perl-musicbrainz-discid-0.06-r1.apk2024-10-25 22:48 9.2K 
[   ]perl-musicbrainz-discid-doc-0.06-r1.apk2024-10-25 22:48 4.3K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 22:48 3.4K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 22:48 3.5K 
[   ]perl-net-amqp-rabbitmq-2.40012-r0.apk2024-10-25 22:48 81K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r0.apk2024-10-25 22:48 9.7K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 17:22 58K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 17:22 66K 
[   ]perl-net-async-redis-xs-1.001-r1.apk2024-10-25 22:48 9.1K 
[   ]perl-net-async-redis-xs-doc-1.001-r1.apk2024-10-25 22:48 5.3K 
[   ]perl-net-curl-0.57-r0.apk2025-01-22 15:58 62K 
[   ]perl-net-curl-doc-0.57-r0.apk2025-01-22 15:58 39K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 22:48 8.9K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 22:48 2.7K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 22:48 12K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 22:48 3.0K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 22:48 3.1K 
[   ]perl-net-idn-encode-2.500-r1.apk2024-10-25 22:48 84K 
[   ]perl-net-idn-encode-doc-2.500-r1.apk2024-10-25 22:48 22K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 22:48 5.4K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 22:48 5.1K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 22:48 51K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 22:48 13K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 22:48 7.6K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 22:48 49K 
[   ]perl-net-netmask-2.0002-r2.apk2024-10-25 22:48 14K 
[   ]perl-net-netmask-doc-2.0002-r2.apk2024-10-25 22:48 8.5K 
[   ]perl-net-patricia-1.22-r12.apk2024-10-25 22:48 21K 
[   ]perl-net-patricia-doc-1.22-r12.apk2024-10-25 22:48 6.0K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 22:48 58K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 22:48 44K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 22:48 11K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 22:48 8.0K 
[   ]perl-nice-try-1.3.16-r0.apk2025-05-01 07:50 28K 
[   ]perl-nice-try-doc-1.3.16-r0.apk2025-05-01 07:50 12K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 22:48 15K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 22:48 9.0K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 22:48 5.2K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 22:48 4.4K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 22:48 15K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 22:48 26K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 22:48 5.7K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 22:48 7.0K 
[   ]perl-object-pad-fieldattr-checked-0.12-r0.apk2024-10-25 22:48 8.4K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r0.apk2024-10-25 22:48 4.5K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 13:57 3.7K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 13:57 5.4K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 22:48 8.6K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 22:48 7.3K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 22:48 18K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 22:48 33K 
[   ]perl-pango-1.227-r11.apk2024-10-25 22:48 81K 
[   ]perl-pango-doc-1.227-r11.apk2024-10-25 22:48 81K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 22:48 5.2K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 22:48 5.1K 
[   ]perl-perlio-locale-0.10-r12.apk2024-10-25 22:48 4.5K 
[   ]perl-perlio-locale-doc-0.10-r12.apk2024-10-25 22:48 3.0K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 22:48 3.9K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 22:48 3.3K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 10:36 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 10:36 3.1K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-19 12:46 3.6K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-19 12:46 4.0K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 15:02 2.5K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 15:02 3.1K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 22:48 3.1K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 22:48 3.0K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-19 12:46 2.8K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-19 12:46 3.1K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 22:48 4.5K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 22:48 4.9K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 22:48 10K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 22:48 11K 
[   ]perl-ppi-xs-0.910-r1.apk2024-10-25 22:48 5.8K 
[   ]perl-ppi-xs-doc-0.910-r1.apk2024-10-25 22:48 3.4K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 22:48 3.7K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 22:48 3.5K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 22:48 11K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 22:48 2.5K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 22:48 12K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 22:48 2.3K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 22:48 2.9K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 22:48 2.6K 
[   ]perl-promise-me-0.5.0-r0.apk2024-10-25 22:48 26K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-10-25 22:48 12K 
[   ]perl-promise-xs-0.20-r1.apk2024-10-25 22:48 25K 
[   ]perl-promise-xs-doc-0.20-r1.apk2024-10-25 22:48 8.7K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 22:48 19K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 22:48 36K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 22:48 5.6K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 22:48 5.0K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 22:48 3.4K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 22:48 3.3K 
[   ]perl-ref-util-xs-0.117-r8.apk2024-10-25 22:48 9.9K 
[   ]perl-ref-util-xs-doc-0.117-r8.apk2024-10-25 22:48 3.4K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 22:48 3.7K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 22:48 3.9K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 22:48 26K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 22:48 2.7K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 22:48 8.9K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 22:48 23K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 22:48 2.8K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 22:48 9.0K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 22:48 2.8K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 22:48 9.1K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 22:48 26K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 22:48 7.5K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 22:48 12K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 22:48 35K 
[   ]perl-scalar-readonly-0.03-r1.apk2024-10-25 22:48 5.3K 
[   ]perl-scalar-readonly-doc-0.03-r1.apk2024-10-25 22:48 3.4K 
[   ]perl-sentinel-0.07-r1.apk2024-10-25 22:48 7.4K 
[   ]perl-sentinel-doc-0.07-r1.apk2024-10-25 22:48 4.2K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-25 22:48 8.8K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-25 22:48 7.4K 
[   ]perl-signature-attribute-checked-0.06-r0.apk2024-10-25 22:48 7.7K 
[   ]perl-signature-attribute-checked-doc-0.06-r0.apk2024-10-25 22:48 4.6K 
[   ]perl-snmp-5.0404-r13.apk2024-10-25 22:48 70K 
[   ]perl-snmp-doc-5.0404-r13.apk2024-10-25 22:48 14K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 07:01 333K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 07:01 379K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 22:48 110K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 22:48 90K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 22:48 8.7K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 22:48 5.4K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 22:48 3.7K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 22:48 4.1K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 22:48 29K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 22:48 20K 
[   ]perl-sql-abstract-more-1.43-r0.apk2024-12-30 07:37 27K 
[   ]perl-sql-abstract-more-doc-1.43-r0.apk2024-12-30 07:37 17K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 22:48 13K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 22:48 10K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 22:48 9.2K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 22:48 50K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 22:48 30K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 22:48 37K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 22:48 6.7K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 22:48 6.9K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 22:48 3.2K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 22:48 3.4K 
[   ]perl-string-compare-constanttime-0.321-r6.apk2024-10-25 22:48 7.2K 
[   ]perl-string-compare-constanttime-doc-0.321-r6.apk2024-10-25 22:48 5.2K 
[   ]perl-string-crc32-2.100-r4.apk2024-10-25 22:48 7.0K 
[   ]perl-string-crc32-doc-2.100-r4.apk2024-10-25 22:48 3.4K 
[   ]perl-string-escape-2010.002-r0.apk2025-03-29 10:54 8.9K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-03-29 10:54 7.8K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 22:48 7.9K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 22:48 6.2K 
[   ]perl-syntax-keyword-match-0.15-r0.apk2024-10-25 22:48 14K 
[   ]perl-syntax-keyword-match-doc-0.15-r0.apk2024-10-25 22:48 7.8K 
[   ]perl-syntax-operator-equ-0.10-r0.apk2024-10-25 22:48 8.0K 
[   ]perl-syntax-operator-equ-doc-0.10-r0.apk2024-10-25 22:48 6.5K 
[   ]perl-syntax-operator-in-0.10-r0.apk2024-10-25 22:48 9.7K 
[   ]perl-syntax-operator-in-doc-0.10-r0.apk2024-10-25 22:48 5.8K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 22:48 5.3K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 22:48 3.7K 
[   ]perl-sys-virt-11.1.0-r0.apk2025-03-21 12:43 201K 
[   ]perl-sys-virt-doc-11.1.0-r0.apk2025-03-21 12:43 99K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 22:48 12K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 22:48 10K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 22:48 2.7K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 22:48 3.0K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 22:48 4.9K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 22:48 4.4K 
[   ]perl-template-timer-1.00-r0.apk2025-04-12 17:52 3.4K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-12 17:52 3.7K 
[   ]perl-template-tiny-1.14-r0.apk2024-12-15 11:09 5.2K 
[   ]perl-template-tiny-doc-1.14-r0.apk2024-12-15 11:09 4.7K 
[   ]perl-term-size-0.211-r4.apk2024-10-25 22:48 5.7K 
[   ]perl-term-size-doc-0.211-r4.apk2024-10-25 22:48 3.7K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 22:48 10K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 22:48 8.5K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 22:48 5.1K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 22:48 4.2K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 22:48 5.9K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 22:48 5.4K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 22:48 3.6K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 22:48 4.2K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 22:48 7.7K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 22:48 6.1K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 22:48 7.1K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 22:48 20K 
[   ]perl-test-expect-0.34-r0.apk2025-04-21 19:35 3.5K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-04-21 19:35 3.6K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 19:55 11K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 19:55 6.8K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 22:48 6.7K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 22:48 15K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 22:48 9.8K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 22:48 8.3K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 22:48 6.4K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 22:48 5.3K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 22:48 15K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 22:48 9.8K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 22:48 3.5K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 22:48 3.7K 
[   ]perl-test-redisserver-0.23-r0.apk2024-10-25 22:48 5.0K 
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-10-25 22:48 4.1K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 22:48 4.8K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 22:48 4.4K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 22:48 12K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 22:48 15K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 22:48 4.9K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 22:48 6.0K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 22:48 8.9K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 22:48 8.4K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 22:48 9.8K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 22:48 6.2K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 22:48 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 22:48 20K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 22:48 37K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 22:48 48K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 22:48 3.8K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 22:48 3.8K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 16:08 5.6K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 16:08 4.9K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-13 16:57 15K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 06:32 7.4K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 06:32 6.2K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-13 16:57 10K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 22:48 3.8K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 22:48 4.4K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 22:48 4.5K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 22:48 4.1K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-19 12:46 4.5K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-19 12:46 3.5K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 22:48 8.1K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 22:48 6.6K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 22:48 5.3K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 22:48 5.2K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 22:48 6.2K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 22:48 8.0K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 22:48 3.9K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 22:48 3.4K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 22:48 4.6K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 22:48 3.8K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 22:48 4.7K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 22:48 4.1K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 22:48 7.2K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 22:48 6.9K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 22:48 8.0K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 22:48 6.5K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-19 12:46 2.6K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-19 12:46 3.2K 
[   ]perl-time-moment-0.44-r0.apk2024-10-25 22:48 40K 
[   ]perl-time-moment-doc-0.44-r0.apk2024-10-25 22:48 31K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 22:48 2.8K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 22:48 3.4K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 22:48 3.6K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 22:48 4.0K 
[   ]perl-time-timegm-0.01-r9.apk2024-10-25 22:48 6.7K 
[   ]perl-time-timegm-doc-0.01-r9.apk2024-10-25 22:48 3.8K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-19 12:46 20K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-19 12:46 46K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 22:48 3.9K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 22:48 4.1K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 06:27 11K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 06:27 8.4K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 22:48 7.0K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 22:48 7.5K 
[   ]perl-uri-find-20160806-r0.apk2025-03-23 08:58 14K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-23 08:58 9.1K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 22:48 4.0K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 22:48 3.9K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 22:48 3.2K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 22:48 4.6K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 22:48 2.7K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 22:48 4.9K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-19 12:46 2.3K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-19 12:46 4.3K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 22:48 5.1K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 22:48 4.7K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 22:48 3.2K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 22:48 5.6K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 22:48 6.8K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 22:48 7.6K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 22:48 20K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 22:48 16K 
[   ]perl-xml-bare-0.53-r13.apk2024-10-25 22:48 29K 
[   ]perl-xml-bare-doc-0.53-r13.apk2024-10-25 22:48 11K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 22:48 14K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 22:48 12K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 22:48 5.0K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 22:48 5.4K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 22:48 5.7K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 22:48 4.9K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 22:48 44K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 22:48 18K 
[   ]persistent-cache-cpp-1.0.7-r4.apk2025-02-17 13:09 45K 
[   ]persistent-cache-cpp-dev-1.0.7-r4.apk2025-02-17 13:09 18K 
[   ]persistent-cache-cpp-doc-1.0.7-r4.apk2025-02-17 13:09 3.1K 
[   ]pfetch-1.9.0-r0.apk2025-04-10 15:21 23K 
[   ]pfetch-doc-1.9.0-r0.apk2025-04-10 15:21 5.7K 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 22:48 3.9M 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 22:48 1.8K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 22:48 287K 
[   ]php81-8.1.32-r1.apk2025-03-29 10:54 1.8M 
[   ]php81-apache2-8.1.32-r1.apk2025-03-29 10:54 1.7M 
[   ]php81-bcmath-8.1.32-r1.apk2025-03-29 10:54 16K 
[   ]php81-bz2-8.1.32-r1.apk2025-03-29 10:54 9.9K 
[   ]php81-calendar-8.1.32-r1.apk2025-03-29 10:54 14K 
[   ]php81-cgi-8.1.32-r1.apk2025-03-29 10:54 1.7M 
[   ]php81-common-8.1.32-r1.apk2025-03-29 10:54 25K 
[   ]php81-ctype-8.1.32-r1.apk2025-03-29 10:54 4.9K 
[   ]php81-curl-8.1.32-r1.apk2025-03-29 10:54 35K 
[   ]php81-dba-8.1.32-r1.apk2025-03-29 10:54 21K 
[   ]php81-dev-8.1.32-r1.apk2025-03-29 10:54 939K 
[   ]php81-doc-8.1.32-r1.apk2025-03-29 10:54 68K 
[   ]php81-dom-8.1.32-r1.apk2025-03-29 10:54 56K 
[   ]php81-embed-8.1.32-r1.apk2025-03-29 10:54 1.7M 
[   ]php81-enchant-8.1.32-r1.apk2025-03-29 10:54 8.3K 
[   ]php81-exif-8.1.32-r1.apk2025-03-29 10:54 33K 
[   ]php81-ffi-8.1.32-r1.apk2025-03-29 10:54 75K 
[   ]php81-fileinfo-8.1.32-r1.apk2025-03-29 10:54 376K 
[   ]php81-fpm-8.1.32-r1.apk2025-03-29 10:54 1.8M 
[   ]php81-ftp-8.1.32-r1.apk2025-03-29 10:54 21K 
[   ]php81-gd-8.1.32-r1.apk2025-03-29 10:54 123K 
[   ]php81-gettext-8.1.32-r1.apk2025-03-29 10:54 5.9K 
[   ]php81-gmp-8.1.32-r1.apk2025-03-29 10:54 20K 
[   ]php81-iconv-8.1.32-r1.apk2025-03-29 10:54 17K 
[   ]php81-imap-8.1.32-r1.apk2025-03-29 10:54 32K 
[   ]php81-intl-8.1.32-r1.apk2025-03-29 10:54 137K 
[   ]php81-ldap-8.1.32-r1.apk2025-03-29 10:54 31K 
[   ]php81-litespeed-8.1.32-r1.apk2025-03-29 10:54 1.8M 
[   ]php81-mbstring-8.1.32-r1.apk2025-03-29 10:54 571K 
[   ]php81-mysqli-8.1.32-r1.apk2025-03-29 10:54 40K 
[   ]php81-mysqlnd-8.1.32-r1.apk2025-03-29 10:54 78K 
[   ]php81-odbc-8.1.32-r1.apk2025-03-29 10:54 22K 
[   ]php81-opcache-8.1.32-r1.apk2025-03-29 10:54 66K 
[   ]php81-openssl-8.1.32-r1.apk2025-03-29 10:54 68K 
[   ]php81-pcntl-8.1.32-r1.apk2025-03-29 10:54 13K 
[   ]php81-pdo-8.1.32-r1.apk2025-03-29 10:54 40K 
[   ]php81-pdo_dblib-8.1.32-r1.apk2025-03-29 10:54 11K 
[   ]php81-pdo_mysql-8.1.32-r1.apk2025-03-29 10:54 13K 
[   ]php81-pdo_odbc-8.1.32-r1.apk2025-03-29 10:54 12K 
[   ]php81-pdo_pgsql-8.1.32-r1.apk2025-03-29 10:54 18K 
[   ]php81-pdo_sqlite-8.1.32-r1.apk2025-03-29 10:54 12K 
[   ]php81-pear-8.1.32-r1.apk2025-03-29 10:54 338K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 22:48 59K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-10-25 22:48 56K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-10-25 22:48 21K 
[   ]php81-pecl-brotli-0.16.0-r0.apk2025-04-22 07:36 13K 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 16:45 10K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 22:48 19K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-07 15:16 60K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 22:48 52K 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 22:48 35K 
[   ]php81-pecl-imagick-3.8.0-r0.apk2025-04-10 22:06 116K 
[   ]php81-pecl-imagick-dev-3.8.0-r0.apk2025-04-10 22:06 2.3K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 22:48 40K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:48 11K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 22:48 31K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 22:48 7.5K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-25 22:48 24K 
[   ]php81-pecl-maxminddb-1.12.1-r0.apk2025-05-07 13:53 8.4K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-10-25 22:48 15K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 22:48 45K 
[   ]php81-pecl-memcached-3.3.0-r0.apk2024-10-25 22:48 48K 
[   ]php81-pecl-memprof-3.1.0-r0.apk2025-02-24 18:23 14K 
[   ]php81-pecl-mongodb-2.0.0-r0.apk2025-04-10 20:22 831K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 22:48 28K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 22:48 36K 
[   ]php81-pecl-opentelemetry-1.1.2-r0.apk2025-01-23 04:50 13K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 18:17 9.9K 
[   ]php81-pecl-protobuf-4.30.0-r0.apk2025-03-05 19:38 148K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 22:48 19K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 13:52 39K 
[   ]php81-pecl-redis-6.2.0-r0.apk2025-03-27 11:58 210K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 20:02 21K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 22:48 29K 
[   ]php81-pecl-swoole-6.0.2-r0.apk2025-03-26 19:30 904K 
[   ]php81-pecl-swoole-dev-6.0.2-r0.apk2025-03-26 19:30 213K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 12:06 192K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 22:48 6.6K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 22:48 9.9K 
[   ]php81-pecl-uuid-1.2.1-r0.apk2024-10-25 22:48 6.7K 
[   ]php81-pecl-vips-1.0.13-r0.apk2024-10-25 22:48 17K 
[   ]php81-pecl-xdebug-3.4.2-r0.apk2025-03-09 19:39 145K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 22:48 13K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 22:48 801K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 02:44 230K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 22:48 36K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-25 22:48 19K 
[   ]php81-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:57 61K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 15:44 14K 
[   ]php81-pgsql-8.1.32-r1.apk2025-03-29 10:54 42K 
[   ]php81-phar-8.1.32-r1.apk2025-03-29 10:54 114K 
[   ]php81-phpdbg-8.1.32-r1.apk2025-03-29 10:54 1.8M 
[   ]php81-posix-8.1.32-r1.apk2025-03-29 10:54 11K 
[   ]php81-pspell-8.1.32-r1.apk2025-03-29 10:54 7.9K 
[   ]php81-session-8.1.32-r1.apk2025-03-29 10:54 34K 
[   ]php81-shmop-8.1.32-r1.apk2025-03-29 10:54 6.1K 
[   ]php81-simplexml-8.1.32-r1.apk2025-03-29 10:54 21K 
[   ]php81-snmp-8.1.32-r1.apk2025-03-29 10:54 20K 
[   ]php81-soap-8.1.32-r1.apk2025-03-29 10:54 126K 
[   ]php81-sockets-8.1.32-r1.apk2025-03-29 10:54 34K 
[   ]php81-sodium-8.1.32-r1.apk2025-03-29 10:54 25K 
[   ]php81-sqlite3-8.1.32-r1.apk2025-03-29 10:54 20K 
[   ]php81-sysvmsg-8.1.32-r1.apk2025-03-29 10:54 7.5K 
[   ]php81-sysvsem-8.1.32-r1.apk2025-03-29 10:54 5.8K 
[   ]php81-sysvshm-8.1.32-r1.apk2025-03-29 10:54 6.6K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 22:48 14K 
[   ]php81-tidy-8.1.32-r1.apk2025-03-29 10:54 18K 
[   ]php81-tokenizer-8.1.32-r1.apk2025-03-29 10:54 12K 
[   ]php81-xml-8.1.32-r1.apk2025-03-29 10:54 18K 
[   ]php81-xmlreader-8.1.32-r1.apk2025-03-29 10:54 13K 
[   ]php81-xmlwriter-8.1.32-r1.apk2025-03-29 10:54 12K 
[   ]php81-xsl-8.1.32-r1.apk2025-03-29 10:54 13K 
[   ]php81-zip-8.1.32-r1.apk2025-03-29 10:54 24K 
[   ]php82-pdlib-1.1.0-r1.apk2024-10-25 22:48 495K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 22:48 4.5K 
[   ]php82-pecl-excimer-1.2.3-r0.apk2024-12-04 03:05 22K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 22:48 40K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2025-02-12 15:30 11K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 22:48 37K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 22:48 31K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 22:48 133K 
[   ]php82-pecl-vld-0.18.0-r0.apk2024-10-25 22:48 16K 
[   ]php82-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:57 61K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-09 20:19 5.4K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 22:48 4.5K 
[   ]php83-pecl-eio-3.1.3-r0.apk2024-10-25 22:48 30K 
[   ]php83-pecl-ev-1.2.0-r0.apk2024-10-25 22:48 43K 
[   ]php83-pecl-excimer-1.2.3-r0.apk2024-12-04 03:05 22K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:48 11K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 22:48 37K 
[   ]php83-pecl-phpy-1.0.11-r0.apk2025-03-07 00:24 42K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 22:48 54K 
[   ]php83-pecl-vld-0.18.0-r1.apk2024-10-25 22:48 16K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 22:48 32K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 16:45 10K 
[   ]php84-pecl-ev-1.2.0-r1.apk2024-10-25 22:48 43K 
[   ]php84-pecl-memprof-3.1.0-r0.apk2025-02-24 18:23 14K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 22:48 37K 
[   ]php84-pecl-phpy-1.0.11-r0.apk2025-03-07 00:24 42K 
[   ]php84-pecl-solr-2.8.1-r0.apk2025-04-23 06:51 89K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 13:47 54K 
[   ]php84-snappy-0.2.3-r0.apk2025-04-09 20:19 5.5K 
[   ]phpactor-2024.06.30.0-r0.apk2024-10-25 22:48 3.8M 
[   ]pick-4.0.0-r0.apk2024-10-25 22:48 9.9K 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 22:48 3.3K 
[   ]pict-rs-0.5.16-r1.apk2024-10-25 22:48 6.2M 
[   ]pict-rs-openrc-0.5.16-r1.apk2024-10-25 22:48 1.9K 
[   ]piglit-0_git20241106-r0.apk2024-11-08 07:21 89M 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 22:48 85K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 22:48 53K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 22:48 20K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 22:48 1.8K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 22:48 35K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 22:48 1.8K 
[   ]pinentry-bemenu-0.14.0-r0.apk2025-02-21 20:35 9.2K 
[   ]pipectl-0.4.1-r1.apk2024-10-25 22:48 5.9K 
[   ]pipectl-doc-0.4.1-r1.apk2024-10-25 22:48 2.9K 
[   ]pithos-1.6.1-r0.apk2024-10-25 22:48 104K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 22:48 2.1K 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 22:48 147K 
[   ]pitivi-2023.03-r2.apk2024-12-22 23:04 2.7M 
[   ]pitivi-lang-2023.03-r2.apk2024-12-22 23:04 678K 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-22 23:04 700K 
[   ]pixiewps-1.4.2-r1.apk2024-10-25 22:48 56K 
[   ]pixiewps-doc-1.4.2-r1.apk2024-10-25 22:48 3.4K 
[   ]planarity-4.0.0.0-r0.apk2025-03-16 11:07 24K 
[   ]planarity-dev-4.0.0.0-r0.apk2025-03-16 11:07 23K 
[   ]planarity-doc-4.0.0.0-r0.apk2025-03-16 11:07 13K 
[   ]planarity-libs-4.0.0.0-r0.apk2025-03-16 11:07 78K 
[   ]planner-0.14.92-r1.apk2024-12-08 22:36 348K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 22:36 2.2K 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 22:36 825K 
[   ]platformio-core-6.1.7-r3.apk2024-10-25 22:48 263K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-25 22:48 550K 
[   ]plfit-1.0.1-r0.apk2025-01-04 04:47 68K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 04:47 6.5K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 04:47 54K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 04:47 83K 
[   ]plib-1.8.5-r3.apk2024-10-25 22:48 1.3M 
[   ]plplot-5.15.0-r2.apk2024-10-25 22:48 31K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 22:48 59K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 22:48 311K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 22:48 199K 
[   ]pmccabe-2.8-r1.apk2024-10-25 22:48 26K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 22:48 7.1K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 22:48 141K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 22:48 2.3K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 22:48 25K 
[   ]poke-4.3-r0.apk2025-03-19 12:46 1.5M 
[   ]poke-doc-4.3-r0.apk2025-03-19 12:46 201K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 22:48 11K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 22:48 3.0K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 22:48 56K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 22:48 2.4K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 22:48 22K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 22:48 105K 
[   ]polyglot-2.0.4-r1.apk2024-10-25 22:48 66K 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 22:48 48K 
[   ]pomo-0.8.1-r22.apk2025-04-12 08:15 1.6M 
[   ]pomo-doc-0.8.1-r22.apk2025-04-12 08:15 2.7K 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 22:48 2.3K 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-25 08:55 13M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-25 08:55 1.3M 
[   ]popeye-0.22.1-r3.apk2025-04-12 08:15 27M 
[   ]porla-0.41.0-r2.apk2025-02-24 16:56 3.4M 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 16:56 2.2K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 16:56 2.7K 
[   ]portsmf-239-r1.apk2024-10-25 22:48 57K 
[   ]portsmf-dev-239-r1.apk2024-10-25 22:48 20K 
[   ]postgresql-hll-2.18-r0.apk2024-10-25 22:48 27K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2024-10-25 22:48 55K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 22:48 24K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 22:48 54K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 22:48 69K 
[   ]pounce-3.1-r3.apk2024-10-25 22:48 30K 
[   ]pounce-doc-3.1-r3.apk2024-10-25 22:48 8.5K 
[   ]pounce-openrc-3.1-r3.apk2024-10-25 22:48 2.8K 
[   ]powder-toy-97.0.352-r1.apk2025-01-10 14:25 845K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 22:48 19K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 22:48 2.3K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 22:48 4.2K 
[   ]ppl-1.2-r1.apk2024-10-25 22:48 38K 
[   ]ppl-dev-1.2-r1.apk2024-10-25 22:48 614K 
[   ]ppl-doc-1.2-r1.apk2024-10-25 22:48 9.1M 
[   ]pptpclient-1.10.0-r5.apk2024-10-25 22:48 33K 
[   ]pptpclient-doc-1.10.0-r5.apk2024-10-25 22:48 7.2K 
[   ]pqiv-2.12-r1.apk2024-10-25 22:48 64K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 22:48 12K 
[   ]predict-2.3.1-r0.apk2024-11-23 19:56 92K 
[   ]predict-doc-2.3.1-r0.apk2024-11-23 19:56 16K 
[   ]prettier-3.4.2-r0.apk2024-12-10 09:20 1.9M 
[   ]prettier-doc-3.4.2-r0.apk2024-12-10 09:20 21K 
[   ]primecount-7.16-r0.apk2025-04-29 23:50 30K 
[   ]primecount-dev-7.16-r0.apk2025-04-29 23:50 4.2M 
[   ]primecount-doc-7.16-r0.apk2025-04-29 23:50 3.9K 
[   ]primecount-libs-7.16-r0.apk2025-04-29 23:50 144K 
[   ]primesieve-12.8-r0.apk2025-04-29 23:50 43K 
[   ]primesieve-dev-12.8-r0.apk2025-04-29 23:50 2.6M 
[   ]primesieve-doc-12.8-r0.apk2025-04-29 23:50 4.1K 
[   ]primesieve-libs-12.8-r0.apk2025-04-29 23:50 115K 
[   ]prjtrellis-1.4-r2.apk2024-10-25 22:48 1.2M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 22:48 3.3K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 22:48 2.1M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 22:48 39K 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 22:48 1.0M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 22:48 1.1M 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 22:48 748K 
[   ]projectm-3.1.12-r2.apk2024-10-25 22:48 442K 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 22:48 1.0M 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 22:48 4.3M 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 22:48 413K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 22:48 2.0K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 22:48 326K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 22:48 5.0K 
[   ]prometheus-bind-exporter-0.8.0-r0.apk2025-04-15 22:43 4.8M 
[   ]prometheus-bind-exporter-openrc-0.8.0-r0.apk2025-04-15 22:43 1.9K 
[   ]prometheus-ceph-exporter-4.2.5-r3.apk2025-04-12 08:15 3.5M 
[   ]prometheus-ceph-exporter-openrc-4.2.5-r3.apk2025-04-12 08:15 1.9K 
[   ]prometheus-ipmi-exporter-1.8.0-r4.apk2025-04-12 08:15 4.2M 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r4.apk2025-04-12 08:15 6.6K 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r4.apk2025-04-12 08:15 1.9K 
[   ]prometheus-opnsense-exporter-0.0.7-r2.apk2025-04-12 08:15 4.7M 
[   ]prometheus-opnsense-exporter-openrc-0.0.7-r2.apk2025-04-12 08:15 2.1K 
[   ]prometheus-podman-exporter-1.15.0-r2.apk2025-04-12 08:15 15M 
[   ]prometheus-rethinkdb-exporter-1.0.1-r27.apk2025-04-12 08:15 4.1M 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r27.apk2025-04-12 08:15 1.7K 
[   ]prometheus-smartctl-exporter-0.13.0-r4.apk2025-04-12 08:15 4.5M 
[   ]prometheus-smartctl-exporter-openrc-0.13.0-r4.apk2025-04-12 08:15 1.9K 
[   ]prometheus-smokeping-prober-0.7.1-r11.apk2025-04-12 08:15 4.4M 
[   ]prometheus-smokeping-prober-openrc-0.7.1-r11.apk2025-04-12 08:15 2.0K 
[   ]prometheus-unbound-exporter-0.4.6-r4.apk2025-04-12 08:15 3.6M 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r4.apk2025-04-12 08:15 2.0K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 22:48 3.0K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 22:48 2.0K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 22:48 2.9K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 22:48 2.0K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 22:48 3.3K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 22:48 2.1K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 22:48 2.2K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 22:48 7.4K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 22:48 3.5K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 22:48 3.1K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 22:48 3.1K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 22:48 2.2K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 22:48 2.0K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 22:48 2.4K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 22:48 6.1K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 22:48 5.8K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 22:48 7.1K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 22:48 3.9K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 22:48 104K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 22:48 2.9K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 22:48 2.2K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 22:48 1.9K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 22:48 2.2K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 22:48 1.8K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 22:48 3.0K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 22:48 8.8K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 22:48 2.3K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 22:48 2.2K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 22:48 2.9K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 22:48 2.9K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 22:48 1.7K 
[   ]protoc-gen-go-1.36.5-r1.apk2025-04-12 08:15 2.2M 
[   ]protoconf-0.1.7-r12.apk2025-04-12 08:15 7.4M 
[   ]psftools-1.1.2-r0.apk2024-10-25 22:48 260K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 22:48 89K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 22:48 60K 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 22:48 10K 
[   ]pspp-2.0.1-r0.apk2024-10-25 22:48 17M 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-25 22:48 4.4M 
[   ]pspp-doc-2.0.1-r0.apk2024-10-25 22:48 9.0K 
[   ]psst-0_git20240526-r1.apk2024-10-25 22:48 7.5M 
[   ]ptpd-2.3.1-r1.apk2024-10-25 22:48 178K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 22:48 20K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 22:48 2.4K 
[   ]ptylie-0.2-r1.apk2024-10-25 22:48 12K 
[   ]ptylie-doc-0.2-r1.apk2024-10-25 22:48 3.1K 
[   ]pully-1.0.0-r0.apk2024-10-25 22:48 2.8K 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 22:48 1.9K 
[   ]pulsar-client-cpp-3.1.2-r5.apk2025-04-11 20:27 1.3M 
[   ]pulsar-client-cpp-dev-3.1.2-r5.apk2025-04-11 20:27 53K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 22:48 946K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 22:48 3.7K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 22:48 76K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 22:48 232K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 22:48 3.7K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 22:48 6.8K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 22:48 2.9K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 22:48 2.8K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 22:48 17K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 22:48 21K 
[   ]py3-aesedb-0.1.6-r2.apk2024-10-25 22:48 37K 
[   ]py3-aesedb-pyc-0.1.6-r2.apk2024-10-25 22:48 76K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-19 12:46 19K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-19 12:46 21K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 22:48 29K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 22:48 60K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 22:48 446K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 22:48 51K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 22:48 12K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 22:48 9.2K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 13:28 10K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 13:28 19K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 22:48 10K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 22:48 15K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 22:48 21K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 22:48 19K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 22:48 30K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 22:48 16K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 22:48 24K 
[   ]py3-aiosmb-0.4.11-r0.apk2024-10-25 22:48 605K 
[   ]py3-aiosmb-pyc-0.4.11-r0.apk2024-10-25 22:48 1.1M 
[   ]py3-aiowinreg-0.0.12-r0.apk2024-10-25 22:48 23K 
[   ]py3-aiowinreg-pyc-0.0.12-r0.apk2024-10-25 22:48 45K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 22:48 388K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 22:48 18K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 22:48 673K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 22:48 3.6K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 22:48 3.2K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 22:48 21K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 22:48 29K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 22:48 18K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 22:48 22K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 22:48 275K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 22:48 3.3K 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 22:48 8.5M 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 22:48 178K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 22:48 72K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 22:48 77K 
[   ]py3-apk3-3.0.0_rc4_git20250320-r0.apk2025-03-24 12:33 4.2K 
[   ]py3-apsw-3.49.1.0-r0.apk2025-02-25 08:30 834K 
[   ]py3-apsw-pyc-3.49.1.0-r0.apk2025-02-25 08:30 526K 
[   ]py3-arcus-5.3.0-r2.apk2025-04-11 20:27 89K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 22:48 13K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 22:48 26K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 22:48 5.0K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 22:48 4.5K 
[   ]py3-astral-3.2-r3.apk2024-10-25 22:48 37K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 22:48 59K 
[   ]py3-asyauth-0.0.21-r0.apk2024-10-25 22:48 79K 
[   ]py3-asyauth-pyc-0.0.21-r0.apk2024-10-25 22:48 171K 
[   ]py3-async-lru-2.0.5-r0.apk2025-03-19 12:46 7.0K 
[   ]py3-async-lru-pyc-2.0.5-r0.apk2025-03-19 12:46 8.5K 
[   ]py3-asysocks-0.2.13-r0.apk2024-10-25 22:48 87K 
[   ]py3-asysocks-pyc-0.2.13-r0.apk2024-10-25 22:48 232K 
[   ]py3-b2sdk-2.8.1-r0.apk2025-05-03 11:23 215K 
[   ]py3-b2sdk-pyc-2.8.1-r0.apk2025-05-03 11:23 403K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 22:48 6.9K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 22:48 7.2K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 22:48 46K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 22:48 69K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 22:48 16K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 22:48 4.2K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 22:48 11K 
[   ]py3-beartype-0.20.1-r0.apk2025-03-21 20:36 907K 
[   ]py3-beartype-pyc-0.20.1-r0.apk2025-03-21 20:36 657K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 22:48 17K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 22:48 10K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-19 12:57 40K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-19 12:57 49K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 22:48 28K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 22:48 29K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 22:51 14K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 22:51 23K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 22:48 35K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 22:48 13K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 22:48 370K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 22:48 11K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 22:48 18K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 14:25 68K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 14:25 149K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 14:25 2.5M 
[   ]py3-bookkeeper-4.17.1-r0.apk2024-10-25 22:48 43K 
[   ]py3-bookkeeper-pyc-4.17.1-r0.apk2024-10-25 22:48 67K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 22:48 4.9K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 22:48 5.2K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 22:48 4.3K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 22:48 3.3K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 22:48 3.1K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 22:48 4.0K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 22:48 3.7K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 22:48 3.2K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 22:48 2.6K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 22:48 6.1K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 22:48 5.1K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 22:48 10K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 22:48 7.8K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 22:48 4.8K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 22:48 5.6K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 22:48 4.7K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 22:48 5.2K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 22:48 4.6K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 22:48 3.1K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 22:48 4.1K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 22:48 4.2K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 22:48 12K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 22:48 18K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 22:48 11K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 22:48 16K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 22:48 32K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 22:48 54K 
[   ]py3-caldav-1.4.0-r0.apk2024-11-09 19:51 68K 
[   ]py3-caldav-pyc-1.4.0-r0.apk2024-11-09 19:51 90K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 22:48 286K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 22:48 560K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 22:48 57K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 22:48 103K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 22:48 126K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 22:48 3.0K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-20 21:43 102K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-20 21:43 43K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 22:48 8.7K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 22:48 9.1K 
[   ]py3-chameleon-4.5.4-r0.apk2024-10-25 22:48 97K 
[   ]py3-chameleon-pyc-4.5.4-r0.apk2024-10-25 22:48 131K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-10-25 22:48 16K 
[   ]py3-cjkwrap-2.2-r4.apk2024-10-25 22:48 4.6K 
[   ]py3-cjkwrap-pyc-2.2-r4.apk2024-10-25 22:48 5.2K 
[   ]py3-clang-next-21.0.0_pre20250504-r0.apk2025-05-05 13:57 33K 
[   ]py3-clang-next-pyc-21.0.0_pre20250504-r0.apk2025-05-05 13:57 59K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 22:48 6.0K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 22:48 8.7K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 22:48 11K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 22:48 14K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 22:48 5.1K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 22:48 4.5K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 22:48 6.3K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 22:48 7.8K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 22:48 7.9K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 22:48 9.7K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 22:48 139K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 22:48 222K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 22:48 20K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 22:48 12K 
[   ]py3-colander-2.0-r2.apk2024-10-25 22:48 62K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 22:48 42K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 22:48 7.3K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 22:48 10K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 22:48 8.5K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 22:48 7.5K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 22:48 23K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 22:48 3.0K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 22:48 39K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 22:48 35K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 22:48 3.7K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 22:48 47K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 22:48 22K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 22:48 43K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 22:48 12K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 22:48 4.7K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 22:48 45K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 22:48 15K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-08 14:21 180K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-08 14:21 1.9K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 22:48 155K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 22:48 279K 
[   ]py3-cstruct-5.3-r1.apk2024-10-25 22:48 22K 
[   ]py3-cstruct-pyc-5.3-r1.apk2024-10-25 22:48 36K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 21:13 8.7K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 21:13 10K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 22:48 676K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 22:48 935K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 22:48 18K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 22:48 1.8K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-13 11:26 16K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 22:48 27K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 22:48 36K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 22:48 11K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 22:48 14K 
[   ]py3-dateparser-1.2.0-r0.apk2024-11-23 05:07 197K 
[   ]py3-dateparser-pyc-1.2.0-r0.apk2024-11-23 05:07 334K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 22:48 4.3K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 22:48 4.2K 
[   ]py3-dbus-fast-2.44.1-r0.apk2025-05-02 07:31 857K 
[   ]py3-dbus-fast-doc-2.44.1-r0.apk2025-05-02 07:31 6.3K 
[   ]py3-dbus-fast-pyc-2.44.1-r0.apk2025-05-02 07:31 129K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 22:48 13K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 22:48 2.3K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 22:48 20K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 22:48 22K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 22:48 37K 
[   ]py3-discid-1.2.0-r6.apk2024-10-25 22:48 24K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-10-25 22:48 13K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 22:48 48K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 22:48 49K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 22:48 15K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 22:48 15K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 22:48 366K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 22:48 32K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 22:48 4.0K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 22:48 4.9K 
[   ]py3-dnslib-0.9.25-r0.apk2024-10-25 22:48 52K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-10-25 22:48 109K 
[   ]py3-dogpile.cache-1.3.3-r0.apk2024-10-25 22:48 53K 
[   ]py3-dogpile.cache-pyc-1.3.3-r0.apk2024-10-25 22:48 90K 
[   ]py3-doi-0.2-r0.apk2025-04-12 14:09 6.2K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-12 14:09 4.7K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 22:48 77K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 22:48 133K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 22:48 25K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 22:48 34K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 22:48 8.4K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 22:48 8.7K 
[   ]py3-downloader-cli-0.3.4-r1.apk2024-10-25 22:48 11K 
[   ]py3-downloader-cli-pyc-0.3.4-r1.apk2024-10-25 22:48 14K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 22:48 17K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 22:48 18K 
[   ]py3-drf-yasg-1.21.7-r2.apk2024-10-25 22:48 4.1M 
[   ]py3-drf-yasg-pyc-1.21.7-r2.apk2024-10-25 22:48 97K 
[   ]py3-dt-schema-2025.02-r0.apk2025-03-02 16:51 80K 
[   ]py3-dt-schema-pyc-2025.02-r0.apk2025-03-02 16:51 51K 
[   ]py3-dunamai-1.24.0-r0.apk2025-05-08 14:21 27K 
[   ]py3-dunamai-pyc-1.24.0-r0.apk2025-05-08 14:21 43K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 22:48 221K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 22:48 9.1K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 22:48 6.2K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-14 02:03 13K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 22:48 28K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 22:48 3.6K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 22:48 123K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 22:48 190K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 22:48 14K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 22:48 2.0K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 22:48 39K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 22:48 58K 
[   ]py3-enzyme-0.5.1-r0.apk2024-10-25 22:48 23K 
[   ]py3-enzyme-pyc-0.5.1-r0.apk2024-10-25 22:48 19K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 22:48 7.6K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 22:48 2.5K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 22:48 8.3K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 22:48 14K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 22:48 33K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-11 22:38 332K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-11 22:38 336K 
[   ]py3-evohome-client-0.3.7-r4.apk2024-10-25 22:48 19K 
[   ]py3-evohome-client-pyc-0.3.7-r4.apk2024-10-25 22:48 27K 
[   ]py3-fastavro-1.10.0-r0.apk2024-12-21 11:31 419K 
[   ]py3-fastavro-pyc-1.10.0-r0.apk2024-12-21 11:31 82K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 22:48 38K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 22:48 4.2K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 22:48 40K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 22:48 62K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 22:48 18K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 22:48 27K 
[   ]py3-ffmpeg-0.2.0-r4.apk2024-10-25 22:48 24K 
[   ]py3-ffmpeg-pyc-0.2.0-r4.apk2024-10-25 22:48 33K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 22:48 14K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 22:48 21K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 22:48 5.2K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 22:48 2.6K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 22:51 13K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 22:51 7.9K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 22:48 18K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 22:48 3.3K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 22:48 6.2K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 22:48 5.9K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 22:48 15K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 22:48 17K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 22:48 18K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 22:48 5.3K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 22:48 7.1K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 22:48 5.7K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 22:48 6.7K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 22:48 4.4K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 22:48 5.3K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 22:48 3.6K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 22:48 3.6K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 22:48 2.2K 
[   ]py3-flask-accept-0.0.6-r1.apk2024-10-25 22:48 5.0K 
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-10-25 22:48 3.7K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 22:48 6.5M 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 22:48 357K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 22:48 5.1K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 22:48 4.9K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 22:48 5.3K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 22:48 4.1K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 22:48 7.1K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 22:48 5.8K 
[   ]py3-flask-bootstrap-3.3.7.1-r8.apk2024-10-25 22:48 450K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r8.apk2024-10-25 22:48 11K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 22:48 13K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 22:48 18K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 22:48 4.8K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 22:48 4.1K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 22:48 3.9K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 22:48 3.3K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 22:48 86K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 22:48 6.2K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 23:57 11K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 23:57 14K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 22:48 3.2K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 22:48 2.8K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 22:48 3.2K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 22:48 2.4K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 22:48 8.0K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 22:48 11K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 22:48 4.1K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 22:48 3.4K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-19 17:39 27K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-19 17:39 47K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 22:48 5.6K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 22:48 7.9K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 22:48 16K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 22:48 26K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 22:48 5.6K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 22:48 3.8K 
[   ]py3-flask-migrate-4.0.7-r0.apk2024-10-25 22:48 13K 
[   ]py3-flask-migrate-pyc-4.0.7-r0.apk2024-10-25 22:48 18K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 22:48 8.2K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 22:48 11K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 22:48 172K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 22:48 95K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 07:32 18K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 07:32 6.2K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 22:48 115K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 22:48 20K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 22:48 40K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 22:48 59K 
[   ]py3-flask-security-5.6.1-r0.apk2025-04-21 23:40 295K 
[   ]py3-flask-security-pyc-5.6.1-r0.apk2025-04-21 23:40 227K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 22:48 7.9K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 22:48 7.0K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 22:48 8.9K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 22:48 9.6K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 22:48 40K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 22:48 89K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 22:48 161K 
[   ]py3-funcparserlib-1.0.1-r4.apk2024-10-25 22:48 17K 
[   ]py3-funcparserlib-pyc-1.0.1-r4.apk2024-10-25 22:48 19K 
[   ]py3-furl-2.1.3-r4.apk2024-10-25 22:48 21K 
[   ]py3-furl-pyc-2.1.3-r4.apk2024-10-25 22:48 32K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 22:48 23K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 22:48 20K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 22:48 30K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 22:48 12K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 22:48 13K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 22:48 128K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 22:48 227K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 22:48 10K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 22:48 13K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 22:48 47K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 22:48 84K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 22:48 9.2K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 22:48 11K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-25 22:48 15K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-25 22:48 17K 
[   ]py3-grequests-0.7.0-r2.apk2024-10-25 22:48 6.8K 
[   ]py3-grequests-pyc-0.7.0-r2.apk2024-10-25 22:48 5.9K 
[   ]py3-gtkspellcheck-5.0.3-r0.apk2024-12-07 21:33 45K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r0.apk2024-12-07 21:33 30K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 22:48 11K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 22:48 14K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 22:48 24K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 22:48 24K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 22:48 13K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 22:48 13K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 22:48 19K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 22:48 28K 
[   ]py3-hfst-3.16.2-r0.apk2025-03-29 10:54 373K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 22:48 70K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 22:48 106K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-25 20:57 342K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-25 20:57 12K 
[   ]py3-hishel-0.1.2-r0.apk2025-04-09 02:12 33K 
[   ]py3-hishel-pyc-0.1.2-r0.apk2025-04-09 02:12 73K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 22:48 173K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 22:48 22K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 22:48 4.6K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 22:48 3.2K 
[   ]py3-igraph-0.11.8-r0.apk2024-11-23 18:32 409K 
[   ]py3-igraph-dev-0.11.8-r0.apk2024-11-23 18:32 2.5K 
[   ]py3-igraph-pyc-0.11.8-r0.apk2024-11-23 18:32 371K 
[   ]py3-imageio-2.35.1-r0.apk2024-10-25 22:48 287K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 22:48 16K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 22:48 20K 
[   ]py3-imageio-pyc-2.35.1-r0.apk2024-10-25 22:48 505K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 22:48 229K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 22:48 242K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 22:48 13K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 22:48 20K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 22:48 4.4K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 22:48 3.7K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 22:48 19K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 22:48 10K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 22:48 25K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 22:48 9.4K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 22:48 15K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 22:37 12K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 22:37 4.6K 
[   ]py3-irc-20.4.1-r0.apk2024-10-25 22:48 41K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-10-25 22:48 71K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-19 12:57 43K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-19 12:57 67K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 22:48 269K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 22:48 9.7K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 21:42 11K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 21:42 13K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-25 22:48 13K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-25 22:48 17K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 22:48 6.0K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 22:48 5.3K 
[   ]py3-itunespy-1.6-r4.apk2024-10-25 22:48 10K 
[   ]py3-itunespy-pyc-1.6-r4.apk2024-10-25 22:48 15K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 05:57 12K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 05:57 13K 
[   ]py3-jaraco.logging-3.3.0-r0.apk2024-10-25 22:48 6.0K 
[   ]py3-jaraco.logging-pyc-3.3.0-r0.apk2024-10-25 22:48 5.8K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 22:48 7.6K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 22:48 9.5K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 23:50 6.7K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 23:50 8.1K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-09 10:07 10K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-09 10:07 16K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 22:48 5.9K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 22:48 6.1K 
[   ]py3-json5-0.9.25-r0.apk2024-11-30 21:49 25K 
[   ]py3-json5-pyc-0.9.25-r0.apk2024-11-30 21:49 29K 
[   ]py3-jsonschema417-4.17.3-r1.apk2024-10-25 22:48 73K 
[   ]py3-jsonschema417-pyc-4.17.3-r1.apk2024-10-25 22:48 125K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 22:48 8.3K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 22:48 9.3K 
[   ]py3-jupyterlab3-3.6.7-r0.apk2025-03-19 12:46 14M 
[   ]py3-jupyterlab_server-2.27.3-r0.apk2025-03-19 12:46 124K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 22:48 125K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 22:48 243K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 22:48 9.0K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 22:48 2.0K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 22:48 13K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 22:48 17K 
[   ]py3-kikit-1.7.2-r0.apk2025-05-08 23:43 239K 
[   ]py3-kikit-pyc-1.7.2-r0.apk2025-05-08 23:43 277K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 22:48 8.4K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 22:48 9.5K 
[   ]py3-langcodes-3.3.0-r2.apk2024-11-21 14:31 173K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-11-21 14:31 109K 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 21:08 5.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 21:08 3.0M 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-10-25 22:48 72K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-10-25 22:48 35K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 22:48 16K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 22:48 9.5K 
[   ]py3-libacl-0.7.0-r2.apk2024-10-25 22:48 26K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 22:48 13K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 22:36 30K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 22:36 50K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 22:48 20K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 22:48 30K 
[   ]py3-libpyshell-0.4.1-r0.apk2025-04-14 00:17 12K 
[   ]py3-libpyshell-pyc-0.4.1-r0.apk2025-04-14 00:17 18K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 22:48 36K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 22:48 25K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 20:27 33K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 20:27 71K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 22:48 21K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 22:48 23K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 22:19 14K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 22:19 22K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 22:48 1.6K 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 22:48 1.1M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 22:48 5.6M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 22:48 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 22:48 934K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 22:48 500K 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 22:48 10M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 22:48 1.8M 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 22:48 112K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 22:48 208K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 22:48 19M 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 22:48 45K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 22:48 221K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 22:48 7.6K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 22:48 230K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 22:49 57M 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 22:49 675K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 22:49 713K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 22:49 2.4M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 22:49 58K 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 22:49 2.2M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 22:49 4.7M 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 22:49 112K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 23:22 766K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 23:22 30K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 22:49 4.2K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 22:49 3.1K 
[   ]py3-logtop-0.7-r0.apk2024-10-25 22:49 21K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-10-25 22:49 4.0K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-10-25 22:49 7.5K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-10-25 22:49 6.4K 
[   ]py3-lsp-mypy-0.7.0-r0.apk2025-02-17 13:09 13K 
[   ]py3-lsp-mypy-pyc-0.7.0-r0.apk2025-02-17 13:09 13K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 22:49 69K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 22:49 107K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 22:49 4.0K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 22:49 2.6K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 22:49 32K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 22:49 51K 
[   ]py3-ly-0.9.8-r1.apk2024-10-25 22:49 187K 
[   ]py3-ly-doc-0.9.8-r1.apk2024-10-25 22:49 8.1K 
[   ]py3-ly-pyc-0.9.8-r1.apk2024-10-25 22:49 354K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 22:49 17K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 22:49 1.9K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 22:49 200K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 22:49 122K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 22:49 22K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 22:49 4.2K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 22:49 36K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 21:31 39K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 21:31 26K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 22:49 60K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-16 20:33 136K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 22:49 47K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 22:49 76K 
[   ]py3-markdownify-1.1.0-r0.apk2025-04-18 01:03 15K 
[   ]py3-markdownify-pyc-1.1.0-r0.apk2025-04-18 01:03 17K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-21 23:47 48K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 22:49 5.3K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 22:49 4.4K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-21 23:47 85K 
[   ]py3-mbedtls-2.10.1-r2.apk2024-10-25 22:49 919K 
[   ]py3-mbedtls-pyc-2.10.1-r2.apk2024-10-25 22:49 27K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 22:49 143K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 22:49 295K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 17:04 26K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 17:04 41K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 19:59 10K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 19:59 23K 
[   ]py3-minidump-0.0.24-r0.apk2024-10-25 22:49 65K 
[   ]py3-minidump-pyc-0.0.24-r0.apk2024-10-25 22:49 130K 
[   ]py3-minikerberos-0.4.4-r1.apk2024-10-25 22:49 129K 
[   ]py3-minikerberos-pyc-0.4.4-r1.apk2024-10-25 22:49 263K 
[   ]py3-minio-7.2.13-r0.apk2024-12-25 20:27 76K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-25 20:27 160K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-19 12:46 45K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-19 12:46 93K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 22:49 95K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 22:49 2.4K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 22:49 9.7K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 22:49 25K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 22:49 48K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 22:49 25K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 22:49 37K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-03 16:52 28K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-03 16:52 34K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 22:49 46K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 22:49 74K 
[   ]py3-mopidy-spotify-5.0.0_alpha3-r0.apk2025-01-23 17:33 24K 
[   ]py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk2025-01-23 17:33 39K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 22:49 25K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 22:49 36K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 22:49 7.4K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 22:49 8.2K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-25 22:49 94K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-25 22:49 156K 
[   ]py3-msldap-0.5.14-r0.apk2025-02-25 00:50 141K 
[   ]py3-msldap-pyc-0.5.14-r0.apk2025-02-25 00:50 324K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 13:57 51K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 22:49 9.4K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 22:49 9.8K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 22:49 68K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 22:49 107K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 22:49 202K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 22:49 9.2K 
[   ]py3-netmiko-4.5.0-r0.apk2025-02-17 13:09 179K 
[   ]py3-netmiko-pyc-4.5.0-r0.apk2025-02-17 13:09 348K 
[   ]py3-nikola-8.3.1-r0.apk2024-10-25 22:49 1.2M 
[   ]py3-nikola-doc-8.3.1-r0.apk2024-10-25 22:49 61K 
[   ]py3-nikola-pyc-8.3.1-r0.apk2024-10-25 22:49 529K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 22:49 20K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 22:49 25K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 22:49 9.4K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 22:49 9.9K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 22:49 7.6K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 22:49 5.7K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 22:49 21K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 22:49 32K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 22:49 7.4K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 22:49 8.6K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 03:05 21K 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 03:05 28K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 22:49 4.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 22:49 78K 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 22:49 7.9M 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 22:49 243K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 22:49 7.6K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 22:49 12K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 21:57 151K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 22:49 8.2K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 22:49 10K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 22:49 498K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 22:49 42K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 22:49 12K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 22:49 17K 
[   ]py3-osqp-0.6.2-r6.apk2024-10-25 22:49 110K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-10-25 22:49 49K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-10-25 22:49 76K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2025-05-08 12:45 9.8K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2025-05-08 12:45 8.2K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-11-25 13:41 45K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-11-25 13:41 91K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-04-27 15:40 50K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-04-27 15:40 89K 
[   ]py3-ovos-config-1.2.0-r0.apk2025-04-27 15:40 46K 
[   ]py3-ovos-config-pyc-1.2.0-r0.apk2025-04-27 15:40 35K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 22:49 358K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 22:49 435K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk2025-05-08 12:45 8.9K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk2025-05-08 12:45 4.8K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2025-05-08 12:45 526K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2025-05-08 12:45 86K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 22:49 47K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 22:49 104K 
[   ]py3-ovos-ocp-rss-plugin-0.0.3-r0.apk2025-05-08 12:45 8.1K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk2025-05-08 12:45 3.7K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk2025-05-08 12:45 8.8K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk2025-05-08 12:45 5.1K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2025-05-08 12:45 4.3K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2025-05-08 12:45 4.3K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2025-05-08 12:45 96K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2025-05-08 12:45 11K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2025-05-08 12:45 12K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2025-05-08 12:45 9.7K 
[   ]py3-ovos-phal-plugin-system-1.3.2-r0.apk2025-04-08 09:56 11K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk2025-04-08 09:56 10K 
[   ]py3-ovos-plugin-manager-0.8.6-r0.apk2025-04-08 09:56 93K 
[   ]py3-ovos-plugin-manager-pyc-0.8.6-r0.apk2025-04-08 09:56 180K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 22:49 8.3K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 22:49 4.1K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2025-05-08 12:45 9.4K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2025-05-08 12:45 5.4K 
[   ]py3-ovos-utils-0.5.4-r0.apk2024-11-25 13:41 69K 
[   ]py3-ovos-utils-pyc-0.5.4-r0.apk2024-11-25 13:41 124K 
[   ]py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk2025-05-08 12:45 4.4K 
[   ]py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk2025-05-08 12:45 4.4K 
[   ]py3-ovos-workshop-3.1.1-r0.apk2024-11-25 13:41 87K 
[   ]py3-ovos-workshop-pyc-3.1.1-r0.apk2024-11-25 13:41 156K 
[   ]py3-owslib-0.32.1-r0.apk2025-01-24 20:02 194K 
[   ]py3-owslib-pyc-0.32.1-r0.apk2025-01-24 20:02 423K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 22:49 419K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 22:49 4.0K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 22:49 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 22:49 12K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 22:49 11K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 22:49 13K 
[   ]py3-pathvalidate-3.2.3-r0.apk2025-01-06 11:40 19K 
[   ]py3-pathvalidate-pyc-3.2.3-r0.apk2025-01-06 11:40 33K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 22:49 6.3K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 22:49 7.1K 
[   ]py3-pcbnewtransition-0.5.0-r0.apk2025-03-19 12:46 7.7K 
[   ]py3-pcbnewtransition-pyc-0.5.0-r0.apk2025-03-19 12:46 9.6K 
[   ]py3-pdal-3.4.5-r0.apk2024-11-06 08:22 170K 
[   ]py3-pdal-pyc-3.4.5-r0.apk2024-11-06 08:22 13K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 22:49 234K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 22:49 147K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 22:49 9.8K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 22:49 13K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 22:49 8.8K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 22:49 11K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 22:49 13K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 22:49 2.2K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 22:49 17K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 22:49 20K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 22:49 34K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 22:49 7.5K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 22:49 5.3K 
[   ]py3-pika-1.3.2-r1.apk2024-10-25 22:49 143K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-10-25 22:49 246K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 22:49 44K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 22:49 36K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 22:49 7.0K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 22:49 4.6K 
[   ]py3-pivy-0.6.9-r2.apk2024-11-24 00:12 2.1M 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 22:49 6.8K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 22:49 8.5K 
[   ]py3-plotly-5.24.1-r2.apk2025-04-19 00:18 20M 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 07:54 19K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 07:54 33K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 22:49 15K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 22:49 25K 
[   ]py3-poetry-dynamic-versioning-1.8.2-r0.apk2025-04-28 03:51 20K 
[   ]py3-poetry-dynamic-versioning-pyc-1.8.2-r0.apk2025-04-28 03:51 26K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 21:18 136K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 21:52 16K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 21:52 17K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 22:49 14K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 22:49 16K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 22:49 8.9K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 22:49 2.6K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 22:49 5.0K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 22:49 7.4K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 22:49 9.9K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 21:31 9.3K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 21:31 12K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 12:36 17K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 12:36 28K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 22:49 21K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 22:49 38K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 22:49 20K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 22:49 11K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 22:49 52K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 22:49 92K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 22:49 35K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 22:49 45K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 22:49 15K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 22:49 17K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 22:49 360K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 22:49 5.5K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 22:49 3.7K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 22:49 47K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 22:49 11K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 22:49 3.6K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 22:49 13K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-10-25 22:49 8.2K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-10-25 22:49 12K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 22:49 13K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 22:49 13K 
[   ]py3-pyglet-2.1.0-r0.apk2025-02-17 13:09 870K 
[   ]py3-pyglet-pyc-2.1.0-r0.apk2025-02-17 13:09 1.6M 
[   ]py3-pyglm-2.7.3-r0.apk2025-02-17 13:09 1.2M 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 22:49 38K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 22:49 5.1K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 22:49 15K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 22:49 10K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 22:49 1.9M 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 22:49 501K 
[   ]py3-pyinstrument-5.0.1-r0.apk2025-01-24 09:21 107K 
[   ]py3-pyinstrument-pyc-5.0.1-r0.apk2025-01-24 09:21 100K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 22:49 20K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 22:49 9.4K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 22:49 17K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 22:49 8.9K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 22:49 18K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 22:49 35K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 22:49 52K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 22:49 32K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 22:49 22K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 22:49 29K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 22:49 23K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 22:49 31K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 22:49 17K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 22:49 32K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 22:49 9.2K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 22:49 9.8K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-17 13:09 12K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-17 13:09 6.5K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 05:49 260K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 22:49 79K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 22:49 42K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 22:49 52K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 22:49 2.1K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 22:49 90K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 22:49 37K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 22:49 4.3K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 22:49 47K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 22:49 9.6K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 22:49 18K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 22:49 22K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 22:49 26K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 22:49 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 22:49 14K 
[   ]py3-pysequoia-0.1.20-r3.apk2024-10-25 22:49 1.6M 
[   ]py3-pysequoia-pyc-0.1.20-r3.apk2024-10-25 22:49 1.9K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 22:49 44K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 22:49 78K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-17 01:18 35K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-17 01:18 32K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 22:49 56K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 22:49 63K 
[   ]py3-pysrt-1.1.2-r4.apk2024-10-25 22:49 26K 
[   ]py3-pysrt-pyc-1.1.2-r4.apk2024-10-25 22:49 23K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 22:49 68K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 22:49 97K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 23:09 36K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 23:09 68K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 21:15 40K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 21:15 2.9K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 22:49 7.0K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 22:49 2.8K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 22:49 6.0K 
[   ]py3-pyte-0.8.2-r2.apk2024-10-25 22:49 30K 
[   ]py3-pyte-pyc-0.8.2-r2.apk2024-10-25 22:49 39K 
[   ]py3-pytest-datadir-1.6.1-r0.apk2025-04-17 20:04 5.9K 
[   ]py3-pytest-datadir-pyc-1.6.1-r0.apk2025-04-17 20:04 3.7K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 22:49 5.9K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 22:49 6.9K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 22:49 4.5K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 22:49 2.8K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 22:49 22K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 22:49 22K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 22:49 10K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 22:49 7.9K 
[   ]py3-pytest-regtest-2.3.2-r1.apk2025-04-02 21:57 16K 
[   ]py3-pytest-regtest-pyc-2.3.2-r1.apk2025-04-02 21:57 30K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 22:49 20K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 22:49 25K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 22:49 7.4K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 22:49 9.3K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 22:49 39K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 22:49 68K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 22:49 8.6K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 22:49 2.3K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 22:49 8.4K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 22:49 806K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 22:49 294K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 22:46 23K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 22:46 40K 
[   ]py3-pyzor-1.0.0-r11.apk2024-10-25 22:49 40K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-10-25 22:49 54K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 22:49 37K 
[   ]py3-qdldl-0.1.5-r4.apk2024-10-25 22:49 99K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 22:49 98K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 22:49 56K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 22:49 180K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 22:49 33K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 22:49 25K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 22:49 8.8K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 22:49 7.8K 
[   ]py3-queuelib-1.7.0-r0.apk2024-10-25 22:49 13K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-10-25 22:49 25K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 22:49 11K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 22:49 15K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 22:49 32K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 22:49 5.1K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 22:49 50K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 22:49 12K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 22:49 18K 
[   ]py3-recurring-ical-events-3.7.0-r0.apk2025-04-22 19:48 49K 
[   ]py3-recurring-ical-events-pyc-3.7.0-r0.apk2025-04-22 19:48 48K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 22:49 37K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 22:49 54K 
[   ]py3-remind-0.19.2-r0.apk2025-04-22 19:48 24K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-04-22 19:48 23K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-18 09:46 50K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-18 09:46 94K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 18:24 12K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 18:24 11K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 22:49 5.5K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 22:49 6.6K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 22:49 13K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 22:49 12K 
[   ]py3-rfc3987-1.3.8-r6.apk2024-10-25 22:49 21K 
[   ]py3-rfc3987-pyc-1.3.8-r6.apk2024-10-25 22:49 11K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 22:49 31K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 22:49 40K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 22:49 13K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 22:49 11K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 22:49 47K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 22:49 91K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 22:49 29K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 22:49 54K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 22:49 37K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 22:49 16K 
[   ]py3-rst-0.1-r9.apk2024-10-25 22:49 5.6K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 22:49 6.1K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 22:49 6.1K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 22:49 6.6K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 22:49 12K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 22:49 2.2K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 22:49 24K 
[   ]py3-rtree-1.4.0-r0.apk2025-04-27 15:40 26K 
[   ]py3-rtree-pyc-1.4.0-r0.apk2025-04-27 15:40 47K 
[   ]py3-schema-0.7.5-r4.apk2024-10-25 22:49 18K 
[   ]py3-schema-pyc-0.7.5-r4.apk2024-10-25 22:49 18K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 22:49 56K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 22:49 73K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 22:49 240K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 22:49 482K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 22:49 111K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 22:49 4.9K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 22:49 2.5M 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 22:49 42K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 22:49 5.4K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 22:49 6.0K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 16:13 38K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 16:13 55K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 22:49 44K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 22:49 7.2K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 22:49 79K 
[   ]py3-simber-0.2.6-r4.apk2024-10-25 22:49 12K 
[   ]py3-simber-pyc-0.2.6-r4.apk2024-10-25 22:49 16K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 22:49 8.0K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 22:49 5.8K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 22:49 214K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 22:49 156K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 22:49 7.9K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 22:49 12K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 22:49 7.5K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 22:49 8.1K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-12 09:41 218K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-12 09:41 2.0K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 22:49 383K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 22:49 5.8K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 22:49 728K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 22:49 15K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 22:49 26K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-03 00:04 47K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-03 00:04 95K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 22:49 17K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 22:49 27K 
[   ]py3-solidpython-1.1.2-r2.apk2025-05-08 12:45 77K 
[   ]py3-solidpython-pyc-1.1.2-r2.apk2025-05-08 12:45 119K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 22:49 11K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 22:49 14K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 22:49 30K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 22:49 44K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 22:49 14K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 22:49 22K 
[   ]py3-sphinx-autoapi-3.6.0-r0.apk2025-02-19 08:13 31K 
[   ]py3-sphinx-autoapi-pyc-3.6.0-r0.apk2025-02-19 08:13 59K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 22:49 11K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 22:49 2.0K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 22:49 1.2M 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 22:49 2.3K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 22:49 65K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 22:49 1.8K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 22:49 81K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 22:49 43K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 22:49 30K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 22:49 2.5K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 22:49 2.4M 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 22:49 5.5K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 22:49 8.8K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 22:49 2.2K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 22:49 7.6K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 22:49 9.3K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 22:49 9.0K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 22:49 12K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 22:49 5.7K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 22:49 4.1K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 22:49 7.5K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 22:49 9.1K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 22:49 5.7K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 22:49 4.2K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 22:49 18K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 22:49 33K 
[   ]py3-sphinxcontrib-doxylink-1.12.3-r0.apk2024-12-06 23:58 12K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk2024-12-06 23:58 16K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 22:49 9.1K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 22:49 3.4K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 22:49 3.9K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 22:49 3.3K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 22:49 17K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 22:49 6.6K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 22:49 7.9K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 22:49 7.6K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 22:49 11K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 22:49 15K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 22:49 18K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 22:49 4.3K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 22:49 34K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 22:49 21K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 22:49 44K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 22:49 11K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 22:49 15K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 22:49 11K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 22:49 12K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 22:49 7.9K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 22:49 11K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 22:49 4.1K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 22:49 3.1K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-08 12:45 10K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-08 12:45 16K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 22:49 8.7K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 22:49 11K 
[   ]py3-sphinxcontrib-phpdomain-0.12.0-r0.apk2024-10-25 22:49 11K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk2024-10-25 22:49 17K 
[   ]py3-sphinxcontrib-plantuml-0.30-r0.apk2025-05-08 12:45 12K 
[   ]py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk2025-05-08 12:45 19K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 22:49 16K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 22:49 24K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 22:49 11K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 22:49 20K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 22:49 7.6K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 22:49 9.3K 
[   ]py3-sphinxcontrib-slide-1.0.0-r3.apk2024-10-25 22:49 5.0K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk2024-10-25 22:49 5.5K 
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-10-25 22:49 15K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-10-25 22:49 19K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 22:49 7.3K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 22:49 4.9K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 22:49 6.1K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 22:49 5.6K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 22:49 14K 
[   ]py3-spin-0.8-r0.apk2024-10-25 22:49 18K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 22:49 24K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 22:49 6.1K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 22:49 6.2K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 08:53 118K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 08:53 219K 
[   ]py3-spotipy-2.24.0-r1.apk2024-10-25 22:49 30K 
[   ]py3-spotipy-pyc-2.24.0-r1.apk2024-10-25 22:49 50K 
[   ]py3-sssd-2.10.2-r1.apk2025-03-21 20:36 62K 
[   ]py3-sssd-pyc-2.10.2-r1.apk2025-03-21 20:36 49K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 22:49 7.7K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 22:49 10K 
[   ]py3-svgpath-6.3-r3.apk2024-10-25 22:49 17K 
[   ]py3-svgpath-pyc-6.3-r3.apk2024-10-25 22:49 22K 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 22:49 2.5M 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 22:49 2.1K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-10-25 22:49 9.8K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-10-25 22:49 5.6K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 22:49 6.9K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 22:49 6.6K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 22:49 23K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 22:49 52K 
[   ]py3-telegram-0.18.0-r3.apk2024-10-25 22:49 14K 
[   ]py3-telegram-bot-21.10-r0.apk2025-02-17 13:09 454K 
[   ]py3-telegram-bot-pyc-21.10-r0.apk2025-02-17 13:09 724K 
[   ]py3-telegram-pyc-0.18.0-r3.apk2024-10-25 22:49 21K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 22:49 9.2K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 22:49 13K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 22:49 21K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 22:49 31K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 22:49 15K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 22:49 20K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 22:49 17K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 22:49 16K 
[   ]py3-textual-0.87.1-r0.apk2024-12-07 21:32 567K 
[   ]py3-textual-pyc-0.87.1-r0.apk2024-12-07 21:32 1.1M 
[   ]py3-tg-0.19.0-r5.apk2024-10-25 22:49 73K 
[   ]py3-tg-pyc-0.19.0-r5.apk2024-10-25 22:49 80K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 22:49 10K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 22:49 8.9K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 22:49 5.9K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 22:49 6.4K 
[   ]py3-tidalapi-0.7.4-r1.apk2024-10-25 22:49 36K 
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-10-25 22:49 62K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 22:49 24K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 22:49 2.8K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 22:49 28K 
[   ]py3-tls_parser-2.0.1-r1.apk2024-10-25 22:49 11K 
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-10-25 22:49 17K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 22:49 179K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 22:49 275K 
[   ]py3-tokenizers-0.21.1-r0.apk2025-04-27 15:40 1.6M 
[   ]py3-tokenizers-pyc-0.21.1-r0.apk2025-04-27 15:40 29K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 22:49 296K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 22:49 236K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 22:49 98K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 22:49 129K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 22:49 9.2K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 22:49 8.8K 
[   ]py3-trimesh-3.22.1-r2.apk2024-10-25 22:49 629K 
[   ]py3-trimesh-pyc-3.22.1-r2.apk2024-10-25 22:49 731K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 22:49 34K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 22:49 55K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-12 15:30 17K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-12 15:30 26K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 22:49 24K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 22:49 39K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 22:49 9.9K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 22:49 14K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 22:49 11K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 22:49 16K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 22:49 5.7K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 22:49 2.2K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 22:49 6.8K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 22:49 9.0K 
[   ]py3-unearth-0.17.5-r0.apk2025-04-11 07:33 40K 
[   ]py3-unearth-pyc-0.17.5-r0.apk2025-04-11 07:33 82K 
[   ]py3-unicrypto-0.0.10-r2.apk2024-10-25 22:49 61K 
[   ]py3-unicrypto-pyc-0.0.10-r2.apk2024-10-25 22:49 94K 
[   ]py3-unidns-0.0.1-r2.apk2024-10-25 22:49 14K 
[   ]py3-unidns-examples-0.0.1-r2.apk2024-10-25 22:49 2.7K 
[   ]py3-unidns-pyc-0.0.1-r2.apk2024-10-25 22:49 22K 
[   ]py3-unoconv-0.9.0-r2.apk2024-10-25 22:49 26K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 22:49 9.7K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 22:49 8.7K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 22:49 15K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 22:49 25K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 22:49 14K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 22:49 15K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 22:49 3.5K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 22:49 2.8K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 22:49 19K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 22:49 8.6K 
[   ]py3-vdf-3.4-r1.apk2024-10-25 22:49 11K 
[   ]py3-vdf-pyc-3.4-r1.apk2024-10-25 22:49 17K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 22:14 14K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 22:14 12K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-10-25 22:49 22K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-10-25 22:49 12K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 22:49 4.6K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 22:49 2.6K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 22:49 41K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 22:49 79K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 22:49 18K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 22:49 20K 
[   ]py3-webrtcvad-2.0.10-r1.apk2024-10-25 22:49 25K 
[   ]py3-webrtcvad-pyc-2.0.10-r1.apk2024-10-25 22:49 2.8K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 22:49 7.5K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 22:49 13K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 07:49 22K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 07:49 12K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 22:49 13K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 22:49 14K 
[   ]py3-winacl-0.1.9-r0.apk2024-10-25 22:49 84K 
[   ]py3-winacl-pyc-0.1.9-r0.apk2024-10-25 22:49 132K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 22:49 17K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 22:49 28K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 22:49 53K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 22:49 110K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 22:49 13K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 22:49 25K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-12 15:30 12K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-12 15:30 7.1K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 12:33 34K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 14:31 312K 
[   ]py3-xlwt-1.3.0-r9.apk2024-10-25 22:49 95K 
[   ]py3-xlwt-pyc-1.3.0-r9.apk2024-10-25 22:49 165K 
[   ]py3-xsdata-25.4-r0.apk2025-04-14 11:33 190K 
[   ]py3-xsdata-pyc-25.4-r0.apk2025-04-14 11:33 393K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 22:49 32K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 22:49 47K 
[   ]py3-yara-4.5.1-r0.apk2024-10-25 22:49 19K 
[   ]py3-yosys-0.42-r0.apk2024-10-25 22:49 1.8K 
[   ]py3-youtube-search-1.6.6-r4.apk2024-10-25 22:49 79K 
[   ]py3-youtube-search-pyc-1.6.6-r4.apk2024-10-25 22:49 96K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 22:49 45K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 22:49 29K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 22:49 39K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 22:49 48K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 22:49 16K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 22:49 7.9K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 22:49 45K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 22:49 61K 
[   ]pyinfra-3.2-r0.apk2025-01-23 03:46 186K 
[   ]pyinfra-pyc-3.2-r0.apk2025-01-23 03:46 349K 
[   ]pympress-1.8.5-r1.apk2024-10-25 22:49 181K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 22:49 349K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 22:49 56K 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 22:49 182K 
[   ]pyonji-0.1.0-r4.apk2025-04-12 08:15 2.8M 
[   ]pypykatz-0.6.11-r0.apk2025-02-28 22:50 315K 
[   ]pypykatz-pyc-0.6.11-r0.apk2025-02-28 22:50 721K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 22:49 871K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 22:49 113K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 22:49 810K 
[   ]qadwaitadecorations-0.1.6-r0.apk2025-05-08 12:45 47K 
[   ]qbittorrent-cli-2.1.0-r4.apk2025-04-12 08:15 5.3M 
[   ]qdjango-0.6.2-r1.apk2024-10-25 22:49 99K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 22:49 14K 
[   ]qflipper-1.3.3-r1.apk2024-10-25 22:49 498K 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 22:49 1.1M 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 22:49 143K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 22:49 1.4K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 22:49 6.8K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 22:49 30K 
[   ]qownnotes-23.6.6-r0.apk2024-10-25 22:49 2.3M 
[   ]qownnotes-lang-23.6.6-r0.apk2024-10-25 22:49 4.4M 
[   ]qpdfview-0.5-r2.apk2025-01-29 21:18 1.0M 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 21:18 4.2K 
[   ]qperf-0.4.11-r1.apk2024-10-25 22:49 37K 
[   ]qperf-doc-0.4.11-r1.apk2024-10-25 22:49 5.5K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 22:49 174K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-10-25 22:49 54K 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-10-25 22:49 62K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 22:49 1.0M 
[   ]qstardict-2.0.2-r1.apk2024-11-24 04:04 449K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 04:04 11K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 22:49 425K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 22:49 4.4K 
[   ]qt-jdenticon-0.3.1-r0.apk2024-10-25 22:49 27K 
[   ]qt-jdenticon-doc-0.3.1-r0.apk2024-10-25 22:49 2.2K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 22:49 14K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 22:49 3.9K 
[   ]qt5ct-1.8-r0.apk2024-10-25 22:49 226K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 22:49 1.5K 
[   ]qt6ct-0.9-r3.apk2024-12-09 20:47 194K 
[   ]qtile-0.30.0-r0.apk2025-02-12 15:30 436K 
[   ]qtile-pyc-0.30.0-r0.apk2025-02-12 15:30 837K 
[   ]qtmir-0.7.2_git20250407-r0.apk2025-04-18 01:03 521K 
[   ]qtmir-dev-0.7.2_git20250407-r0.apk2025-04-18 01:03 6.6K 
[   ]qtox-1.17.6-r6.apk2024-10-25 22:49 5.0M 
[   ]qtpass-1.4.0-r0.apk2024-10-25 22:49 418K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 22:49 2.1K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 22:49 502K 
[   ]qucs-s-1.1.0-r1.apk2024-10-25 22:49 3.4M 
[   ]qucs-s-doc-1.1.0-r1.apk2024-10-25 22:49 2.3K 
[   ]qucs-s-lang-1.1.0-r1.apk2024-10-25 22:49 865K 
[   ]queercat-1.0.0-r0.apk2024-10-25 22:49 7.4K 
[   ]quickjs-ng-0.10.0-r0.apk2025-05-07 20:02 79K 
[   ]quickjs-ng-dev-0.10.0-r0.apk2025-05-07 20:02 14K 
[   ]quickjs-ng-doc-0.10.0-r0.apk2025-05-07 20:02 6.3K 
[   ]quickjs-ng-libs-0.10.0-r0.apk2025-05-07 20:02 345K 
[   ]quodlibet-4.6.0-r1.apk2024-10-25 22:49 1.0M 
[   ]quodlibet-bash-completion-4.6.0-r1.apk2024-10-25 22:49 4.7K 
[   ]quodlibet-doc-4.6.0-r1.apk2024-10-25 22:49 8.7K 
[   ]quodlibet-lang-4.6.0-r1.apk2024-10-25 22:49 1.2M 
[   ]quodlibet-pyc-4.6.0-r1.apk2024-10-25 22:49 1.8M 
[   ]quodlibet-zsh-completion-4.6.0-r1.apk2024-10-25 22:49 2.7K 
[   ]raku-data-dump-0.0.16_git20250123-r0.apk2025-04-24 20:53 44K 
[   ]raku-data-dump-doc-0.0.16_git20250123-r0.apk2025-04-24 20:53 2.9K 
[   ]raku-file-directory-tree-0.1_git20240123-r0.apk2025-04-24 20:53 8.8K 
[   ]raku-file-directory-tree-doc-0.1_git20240123-r0.apk2025-04-24 20:53 3.1K 
[   ]raku-hash-merge-2.0.0-r0.apk2025-04-24 20:53 15K 
[   ]raku-hash-merge-doc-2.0.0-r0.apk2025-04-24 20:53 2.4K 
[   ]raku-http-tiny-0.2.6-r0.apk2025-04-24 20:53 194K 
[   ]raku-http-tiny-doc-0.2.6-r0.apk2025-04-24 20:53 8.9K 
[   ]raku-io-socket-ssl-0.0.4-r0.apk2025-04-24 20:53 46K 
[   ]raku-io-socket-ssl-doc-0.0.4-r0.apk2025-04-24 20:53 2.8K 
[   ]raku-json-fast-0.19-r0.apk2025-04-24 20:53 66K 
[   ]raku-json-fast-doc-0.19-r0.apk2025-04-24 20:53 3.8K 
[   ]raku-mime-base64-1.2.3-r0.apk2025-04-24 20:53 66K 
[   ]raku-mime-base64-doc-1.2.3-r0.apk2025-04-24 20:53 2.8K 
[   ]raku-openssl-0.2.2-r0.apk2025-04-24 20:53 949K 
[   ]raku-openssl-doc-0.2.2-r0.apk2025-04-24 20:53 3.7K 
[   ]raku-sparky-job-api-0.0.7-r0.apk2025-04-24 20:53 73K 
[   ]raku-sparky-job-api-doc-0.0.7-r0.apk2025-04-24 20:53 2.2K 
[   ]raku-sparrow6-0.0.66-r0.apk2025-04-24 20:53 1.3M 
[   ]raku-sparrow6-doc-0.0.66-r0.apk2025-04-24 20:53 5.0K 
[   ]raku-terminal-ansicolor-0.12-r0.apk2025-04-24 20:53 39K 
[   ]raku-terminal-ansicolor-doc-0.12-r0.apk2025-04-24 20:53 4.2K 
[   ]raku-yamlish-0.1.2-r0.apk2025-04-24 20:53 287K 
[   ]raku-yamlish-doc-0.1.2-r0.apk2025-04-24 20:53 2.6K 
[   ]randrctl-1.10.0-r0.apk2024-11-17 22:22 28K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-17 22:22 31K 
[   ]rankwidth-0.9-r3.apk2024-10-25 22:49 5.4K 
[   ]rankwidth-dev-0.9-r3.apk2024-10-25 22:49 2.9K 
[   ]rankwidth-doc-0.9-r3.apk2024-10-25 22:49 3.0K 
[   ]rankwidth-libs-0.9-r3.apk2024-10-25 22:49 5.0K 
[   ]rankwidth-static-0.9-r3.apk2024-10-25 22:49 6.3K 
[   ]raspberrypi-usbboot-20210701-r3.apk2024-10-25 22:49 1.5M 
[   ]rauc-1.10.1-r0.apk2024-10-25 22:49 143K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 22:49 4.1K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 22:49 3.7K 
[   ]razercfg-0.42-r7.apk2024-10-25 22:49 80K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 22:49 19K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 22:49 1.7K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 22:49 36K 
[   ]rclone-browser-1.8.0-r1.apk2025-04-09 00:21 331K 
[   ]rcon-cli-1.6.2-r10.apk2025-04-12 08:15 2.8M 
[   ]rdrview-0.1.3-r0.apk2025-02-22 21:02 30K 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 21:02 3.7K 
[   ]reaction-1.4.1-r5.apk2025-04-12 08:15 2.2M 
[   ]reaction-openrc-1.4.1-r5.apk2025-04-12 08:15 1.8K 
[   ]reaction-tools-1.4.1-r5.apk2025-04-12 08:15 48K 
[   ]readosm-1.1.0-r2.apk2024-10-25 22:49 15K 
[   ]readosm-dev-1.1.0-r2.apk2024-10-25 22:49 31K 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 22:49 439K 
[   ]recoll-1.37.5-r1.apk2024-10-25 22:49 2.7M 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 22:49 53K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 22:49 21K 
[   ]redhat-fonts-4.1.0-r0.apk2025-03-19 12:46 809K 
[   ]refine-0.5.7-r0.apk2025-04-17 01:25 33K 
[   ]refine-lang-0.5.7-r0.apk2025-04-17 01:25 29K 
[   ]reflection-cpp-0.3.0-r0.apk2025-05-04 00:39 1.3K 
[   ]reflection-cpp-dev-0.3.0-r0.apk2025-05-04 00:39 12K 
[   ]reflection-cpp-doc-0.3.0-r0.apk2025-05-04 00:39 5.5K 
[   ]reg-0.16.1-r27.apk2025-04-12 08:15 4.5M 
[   ]regal-0.29.2-r4.apk2025-04-12 08:15 9.6M 
[   ]regal-bash-completion-0.29.2-r4.apk2025-04-12 08:15 5.1K 
[   ]regal-fish-completion-0.29.2-r4.apk2025-04-12 08:15 4.3K 
[   ]regal-zsh-completion-0.29.2-r4.apk2025-04-12 08:15 4.0K 
[   ]remake-1.5-r1.apk2024-10-25 22:49 140K 
[   ]remake-dev-1.5-r1.apk2024-10-25 22:49 2.9K 
[   ]remake-doc-1.5-r1.apk2024-10-25 22:49 202K 
[   ]remake-make-1.5-r1.apk2024-10-25 22:49 1.5K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 22:49 18K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 22:49 6.1K 
[   ]repo-2.53-r0.apk2025-03-20 05:27 17K 
[   ]repo-doc-2.53-r0.apk2025-03-20 05:27 38K 
[   ]repowerd-2023.07-r3.apk2025-02-17 13:09 883K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-17 13:09 1.7K 
[   ]reprotest-0.7.29-r0.apk2024-12-23 05:07 80K 
[   ]reprotest-pyc-0.7.29-r0.apk2024-12-23 05:07 103K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 22:49 750K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 22:49 12K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 22:49 6.1K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 22:49 2.9K 
[   ]restinio-0.6.19-r1.apk2024-12-14 22:14 1.2K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 22:14 268K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 22:49 14K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 22:49 3.5K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 22:49 12K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 22:49 44K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 22:49 72K 
[   ]rinetd-0.73-r0.apk2024-10-25 22:49 15K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 22:49 16K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 22:49 1.7K 
[   ]river-shifttags-0.2.1-r0.apk2024-10-25 22:49 6.5K 
[   ]river-shifttags-doc-0.2.1-r0.apk2024-10-25 22:49 2.3K 
[   ]rizin-0.6.3-r1.apk2024-10-25 22:49 2.7M 
[   ]rizin-cutter-2.3.2-r2.apk2024-10-25 22:49 2.1M 
[   ]rizin-cutter-dev-2.3.2-r2.apk2024-10-25 22:49 108K 
[   ]rizin-dev-0.6.3-r1.apk2024-10-25 22:49 306K 
[   ]rizin-doc-0.6.3-r1.apk2024-10-25 22:49 18K 
[   ]rizin-libs-0.6.3-r1.apk2024-10-25 22:49 4.4M 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 22:49 57K 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 22:49 3.0K 
[   ]rke-1.4.3-r14.apk2025-04-12 08:15 19M 
[   ]rke-doc-1.4.3-r14.apk2025-04-12 08:15 3.0K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 22:49 142K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 22:49 18K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 22:49 19K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 22:49 96K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 22:49 124K 
[   ]rmpc-0.8.0-r0.apk2025-04-14 04:20 2.2M 
[   ]rmpc-bash-completion-0.8.0-r0.apk2025-04-14 04:20 4.1K 
[   ]rmpc-doc-0.8.0-r0.apk2025-04-14 04:20 4.0K 
[   ]rmpc-fish-completion-0.8.0-r0.apk2025-04-14 04:20 4.4K 
[   ]rmpc-zsh-completion-0.8.0-r0.apk2025-04-14 04:20 5.6K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 22:49 5.4K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 22:49 9.1K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 22:49 5.2K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 22:49 66K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 22:49 119K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 22:49 594K 
[   ]rpi-imager-1.9.0-r0.apk2024-10-25 22:49 698K 
[   ]rpi-imager-doc-1.9.0-r0.apk2024-10-25 22:49 3.1K 
[   ]rsstail-2.2-r0.apk2025-02-17 13:09 8.4K 
[   ]rsstail-doc-2.2-r0.apk2025-02-17 13:09 2.8K 
[   ]rtaudio-6.0.1-r0.apk2025-04-18 01:03 41K 
[   ]rtaudio-dev-6.0.1-r0.apk2025-04-18 01:03 94K 
[   ]rtaudio-doc-6.0.1-r0.apk2025-04-18 01:03 194K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 22:49 60K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 22:49 8.2K 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 22:49 4.0M 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 22:49 30K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 22:49 14K 
[   ]rtptools-1.22-r2.apk2024-10-25 22:49 33K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 22:49 13K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 22:49 759K 
[   ]ruby-build-20250418-r0.apk2025-04-24 10:47 91K 
[   ]ruby-build-doc-20250418-r0.apk2025-04-24 10:47 4.8K 
[   ]ruby-build-runtime-20250418-r0.apk2025-04-24 10:47 1.3K 
[   ]ruby-dry-inflector-1.1.0-r1.apk2025-04-23 22:23 8.2K 
[   ]ruby-dry-inflector-doc-1.1.0-r1.apk2025-04-23 22:23 2.3K 
[   ]ruby-facter-4.9.0-r1.apk2025-04-23 22:23 218K 
[   ]ruby-hashdiff-1.1.1-r1.apk2025-04-23 22:23 8.4K 
[   ]ruby-hashdiff-doc-1.1.1-r1.apk2025-04-23 22:23 2.2K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-07 00:24 21K 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-07 00:24 35K 
[   ]rust-script-0.35.0-r0.apk2025-01-23 17:33 956K 
[   ]rustscan-2.3.0-r0.apk2024-10-25 22:49 1.3M 
[   ]ruuvi-prometheus-0.1.9-r1.apk2025-04-12 08:15 3.3M 
[   ]ruuvi-prometheus-openrc-0.1.9-r1.apk2025-04-12 08:15 1.7K 
[   ]rvlprog-0.91-r2.apk2024-10-25 22:49 27K 
[   ]ry-0.5.2-r1.apk2024-10-25 22:49 4.6K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 22:49 1.9K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 22:49 2.2K 
[   ]rygel-0.44.2-r0.apk2025-03-19 14:30 783K 
[   ]rygel-dev-0.44.2-r0.apk2025-03-19 14:30 43K 
[   ]rygel-doc-0.44.2-r0.apk2025-03-19 14:30 9.6K 
[   ]rygel-lang-0.44.2-r0.apk2025-03-19 14:30 567K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 22:49 58K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 22:49 8.5K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 22:49 47K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 22:49 9.6K 
[   ]s5cmd-2.3.0-r2.apk2025-04-12 08:15 4.9M 
[   ]saait-0.8-r0.apk2024-10-25 22:49 7.1K 
[   ]saait-doc-0.8-r0.apk2024-10-25 22:49 13K 
[   ]sacc-1.07-r0.apk2024-10-25 22:49 16K 
[   ]sacc-doc-1.07-r0.apk2024-10-25 22:49 2.8K 
[   ]sandbar-0.1-r0.apk2024-10-25 22:49 16K 
[   ]satellite-1.0.0-r27.apk2025-04-12 08:15 2.1M 
[   ]satellite-doc-1.0.0-r27.apk2025-04-12 08:15 3.0K 
[   ]satellite-openrc-1.0.0-r27.apk2025-04-12 08:15 1.9K 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-20 19:31 934M 
[   ]sbase-0_git20210730-r3.apk2024-10-25 22:50 122K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 22:50 58K 
[   ]sblg-0.5.11-r0.apk2024-10-25 22:50 39K 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 22:50 1.3M 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 22:50 55K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 22:50 22K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 22:50 35K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 22:50 100K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 22:50 4.5K 
[   ]sc-controller-0.5.1-r0.apk2025-03-26 23:43 1.3M 
[   ]sc-controller-pyc-0.5.1-r0.apk2025-03-26 23:43 813K 
[   ]scalingo-1.30.0-r9.apk2025-04-12 08:15 5.2M 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 22:50 230K 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 22:50 1.6M 
[   ]schismtracker-20231029-r0.apk2024-10-25 22:50 395K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 22:50 6.2K 
[   ]scooper-1.3-r1.apk2024-10-25 22:50 485K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 22:50 2.6K 
[   ]screen-message-0.29-r0.apk2025-04-13 13:54 10K 
[   ]screen-message-doc-0.29-r0.apk2025-04-13 13:54 3.6K 
[   ]screenkey-1.5-r6.apk2024-10-25 22:50 77K 
[   ]screenkey-doc-1.5-r6.apk2024-10-25 22:50 11K 
[   ]screenkey-pyc-1.5-r6.apk2024-10-25 22:50 73K 
[   ]sct-2018.12.18-r1.apk2024-10-25 22:50 4.0K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-24 21:39 80K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-24 21:39 12K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-24 21:39 2.1K 
[   ]sdparm-1.12-r1.apk2024-10-25 22:50 146K 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 22:50 19K 
[   ]secsipidx-1.3.2-r11.apk2025-04-12 08:15 2.7M 
[   ]secsipidx-dev-1.3.2-r11.apk2025-04-12 08:15 5.6M 
[   ]secsipidx-libs-1.3.2-r11.apk2025-04-12 08:15 2.4M 
[   ]sedutil-1.15.1-r1.apk2024-10-25 22:50 203K 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 22:50 3.0K 
[   ]seed7-05.20240322-r0.apk2024-10-25 22:50 11M 
[   ]seed7-doc-05.20240322-r0.apk2024-10-25 22:50 1.6M 
[   ]seed7-nano-05.20240322-r0.apk2024-10-25 22:50 2.5K 
[   ]seed7-vim-05.20240322-r0.apk2024-10-25 22:50 4.0K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-25 22:50 43K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-25 22:50 2.6K 
[   ]sentinel-proxy-2.1.0-r0.apk2024-10-25 22:50 43K 
[   ]sentinel-proxy-dev-2.1.0-r0.apk2024-10-25 22:50 5.0K 
[   ]sentinel-proxy-openrc-2.1.0-r0.apk2024-10-25 22:50 2.3K 
[   ]sentrypeer-4.0.4-r0.apk2025-03-19 12:46 1.5M 
[   ]sentrypeer-doc-4.0.4-r0.apk2025-03-19 12:46 3.3K 
[   ]serialdv-1.1.4-r1.apk2025-02-12 15:30 6.8K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-12 15:30 5.1K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-12 15:30 67K 
[   ]serie-0.4.6-r0.apk2025-05-05 10:20 799K 
[   ]serie-doc-0.4.6-r0.apk2025-05-05 10:20 6.6K 
[   ]setroot-2.0.2-r1.apk2024-10-25 22:50 12K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 22:50 4.4K 
[   ]sflowtool-6.02-r0.apk2024-10-25 22:50 43K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 22:50 9.3K 
[   ]sfwbar-1.0_beta16-r1.apk2024-12-12 20:02 285K 
[   ]sfwbar-doc-1.0_beta16-r1.apk2024-12-12 20:02 26K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 22:50 3.0M 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-10-25 22:50 225K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-10-25 22:50 3.4K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-10-25 22:50 28K 
[   ]shc-4.0.3-r2.apk2024-10-25 22:50 20K 
[   ]shellinabox-2.21-r3.apk2024-10-25 22:50 118K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 22:50 19K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 22:50 3.5K 
[   ]shfm-0.4.2-r1.apk2024-10-25 22:50 4.0K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 22:50 6.1K 
[   ]shine-3.1.1-r0.apk2024-10-25 22:50 69K 
[   ]shipments-0.3.0-r0.apk2024-10-25 22:50 24K 
[   ]shntool-3.0.10-r5.apk2025-02-25 00:50 59K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-25 00:50 10K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 22:50 1.8K 
[   ]sigma-0.23.1-r1.apk2024-10-25 22:50 237K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 22:50 340K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 22:50 38K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 22:50 8.0K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 22:50 13K 
[   ]silc-client-1.1.11-r17.apk2024-10-25 22:50 894K 
[   ]silc-client-doc-1.1.11-r17.apk2024-10-25 22:50 83K 
[   ]simgear-2024.1.1-r0.apk2025-03-05 01:18 2.2M 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 01:18 404K 
[   ]simh-3.11.1-r1.apk2024-10-25 22:50 3.4M 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-13 13:28 447K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-13 13:28 478K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-13 13:28 482K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-13 13:28 485K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-13 13:28 483K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-13 13:28 504K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-13 13:28 520K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-13 13:28 526K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-13 13:28 509K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-13 13:28 535K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-13 13:28 547K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-13 13:28 528K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-13 13:28 537K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-13 13:28 533K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-13 13:28 544K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-13 13:28 530K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-13 13:28 540K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-13 13:28 484K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-13 13:28 490K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-13 13:28 14K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-13 13:28 525K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-13 13:28 537K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-13 13:28 517K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-13 13:28 527K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-13 13:28 449K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-13 13:28 487K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-13 13:28 492K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-13 13:28 450K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-13 13:28 451K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-13 13:28 536K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-13 13:28 549K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-13 13:28 518K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-13 13:28 525K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-13 13:28 533K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-13 13:28 538K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-13 13:28 551K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-13 13:28 545K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-13 13:28 538K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-13 13:28 550K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-13 13:28 524K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-13 13:28 535K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-13 13:28 531K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-13 13:28 542K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-13 13:28 530K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-13 13:28 541K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-13 13:28 520K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-13 13:28 527K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-13 13:28 534K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-13 13:28 546K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-13 13:28 529K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-13 13:28 540K 
[   ]simpleble-0.8.1-r0.apk2025-02-25 23:26 1.2K 
[   ]simpleble-dev-0.8.1-r0.apk2025-02-25 23:26 24K 
[   ]sing-box-1.11.9-r0.apk2025-04-29 09:17 11M 
[   ]sing-box-bash-completion-1.11.9-r0.apk2025-04-29 09:17 5.1K 
[   ]sing-box-fish-completion-1.11.9-r0.apk2025-04-29 09:17 4.4K 
[   ]sing-box-openrc-1.11.9-r0.apk2025-04-29 09:17 2.1K 
[   ]sing-box-zsh-completion-1.11.9-r0.apk2025-04-29 09:17 4.1K 
[   ]sing-geoip-20250412-r0.apk2025-04-29 09:17 2.1M 
[   ]sing-geosite-20250428010409-r0.apk2025-04-29 09:17 1.1M 
[   ]singular-4.4.1-r2.apk2025-05-04 20:43 11M 
[   ]singular-dev-4.4.1-r2.apk2025-05-04 20:43 364K 
[   ]singular-doc-4.4.1-r2.apk2025-05-04 20:43 1.3M 
[   ]singular-emacs-4.4.1-r2.apk2025-05-04 20:43 101K 
[   ]singular-static-4.4.1-r2.apk2025-05-04 20:43 11M 
[   ]sipexer-1.2.0-r2.apk2025-04-12 08:15 2.7M 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 22:50 26K 
[   ]siril-1.2.6-r0.apk2025-03-19 14:30 2.8M 
[   ]siril-doc-1.2.6-r0.apk2025-03-19 14:30 18K 
[   ]siril-lang-1.2.6-r0.apk2025-03-19 14:30 1.6M 
[   ]sish-2.16.1-r4.apk2025-04-12 08:15 7.8M 
[   ]sish-openrc-2.16.1-r4.apk2025-04-12 08:15 1.9K 
[   ]slidge-0.1.3-r0.apk2024-10-25 22:50 145K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 22:50 4.5K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 22:50 2.3K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 22:50 285K 
[   ]sloccount-2.26-r3.apk2024-10-25 22:50 65K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 22:50 60K 
[   ]slurm-0.4.4-r0.apk2024-10-25 22:50 14K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 22:50 2.5K 
[   ]smassh-3.1.6-r0.apk2024-11-21 03:56 72K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 03:56 70K 
[   ]smile-2.10.1-r0.apk2025-04-09 00:21 721K 
[   ]smile-lang-2.10.1-r0.apk2025-04-09 00:21 25K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 13:18 153K 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 13:18 25K 
[   ]snapper-0.12.2-r0.apk2025-05-06 14:27 1.0M 
[   ]snapper-bash-completion-0.12.2-r0.apk2025-05-06 14:27 3.1K 
[   ]snapper-dev-0.12.2-r0.apk2025-05-06 14:27 10K 
[   ]snapper-doc-0.12.2-r0.apk2025-05-06 14:27 25K 
[   ]snapper-lang-0.12.2-r0.apk2025-05-06 14:27 212K 
[   ]snapper-zsh-completion-0.12.2-r0.apk2025-05-06 14:27 3.6K 
[   ]snapraid-12.4-r0.apk2025-05-09 19:42 257K 
[   ]snapraid-doc-12.4-r0.apk2025-05-09 19:42 17K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 22:50 41K 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 22:50 361K 
[   ]snippets-ls-0.0.4_git20240617-r4.apk2025-04-12 08:15 1.3M 
[   ]snore-0.3.1-r0.apk2024-10-25 22:50 4.4K 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 22:50 3.0K 
[   ]so-0.4.10-r0.apk2024-10-25 22:50 2.2M 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 02:11 48K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 22:50 28K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 22:50 192K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 22:50 2.4K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 22:50 1.7K 
[   ]solanum-6.0.0-r0.apk2025-04-10 15:21 258K 
[   ]solanum-lang-6.0.0-r0.apk2025-04-10 15:21 47K 
[   ]somebar-1.0.3-r0.apk2024-10-25 22:50 52K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 22:50 2.4K 
[   ]sonar-scanner-7.1.0.4889-r0.apk2025-05-05 02:28 11M 
[   ]sonicradio-0.6.15-r0.apk2025-05-09 16:18 3.0M 
[   ]sopwith-2.5.0-r0.apk2024-10-25 22:50 50K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 22:50 15K 
[   ]soqt-1.6.3-r0.apk2024-12-13 21:44 233K 
[   ]soqt-dev-1.6.3-r0.apk2024-12-13 21:44 84K 
[   ]soqt-doc-1.6.3-r0.apk2024-12-13 21:44 881K 
[   ]sos-0.8-r30.apk2025-04-12 08:15 2.7M 
[   ]soundconverter-4.0.6-r0.apk2024-11-12 16:33 165K 
[   ]soundconverter-doc-4.0.6-r0.apk2024-11-12 16:33 4.5K 
[   ]soundconverter-lang-4.0.6-r0.apk2024-11-12 16:33 107K 
[   ]soundconverter-pyc-4.0.6-r0.apk2024-11-12 16:33 75K 
[   ]spacectl-1.12.0-r0.apk2025-05-07 20:26 5.6M 
[   ]spacectl-bash-completion-1.12.0-r0.apk2025-05-07 20:26 2.1K 
[   ]spacectl-doc-1.12.0-r0.apk2025-05-07 20:26 2.3K 
[   ]spacectl-fish-completion-1.12.0-r0.apk2025-05-07 20:26 7.1K 
[   ]spacectl-zsh-completion-1.12.0-r0.apk2025-05-07 20:26 1.8K 
[   ]spacenavd-1.2-r0.apk2024-10-25 22:50 33K 
[   ]spacer-0.3.8-r0.apk2025-02-28 07:11 1.0M 
[   ]spacer-doc-0.3.8-r0.apk2025-02-28 07:11 3.0K 
[   ]spampd-2.62-r0.apk2025-02-25 23:33 39K 
[   ]spampd-openrc-2.62-r0.apk2025-02-25 23:33 2.0K 
[   ]spark-2.8.3-r1.apk2024-10-25 22:50 29M 
[   ]speedtest-5.2.5-r1.apk2024-10-25 22:50 253K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 22:50 18K 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 22:50 13K 
[   ]speedtest-go-1.1.5-r14.apk2025-04-12 08:15 5.3M 
[   ]speedtest-go-doc-1.1.5-r14.apk2025-04-12 08:15 4.5K 
[   ]speedtest-go-openrc-1.1.5-r14.apk2025-04-12 08:15 1.7K 
[   ]speedtest_exporter-0.3.2-r14.apk2025-04-12 08:15 3.8M 
[   ]speedtest_exporter-openrc-0.3.2-r14.apk2025-04-12 08:15 1.9K 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 22:50 439K 
[   ]spike-1.1.0-r0.apk2024-10-25 22:50 1.4M 
[   ]spiped-1.6.2-r1.apk2024-10-25 22:50 68K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 06:10 48K 
[   ]spnavcfg-1.1-r0.apk2024-10-25 22:50 36K 
[   ]sponskrub-3.7.2-r8.apk2024-12-26 18:07 191K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 22:50 45K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 22:50 196K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 22:50 690K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 22:50 4.6K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-08 12:45 38K 
[   ]spvm-errno-0.093-r0.apk2025-01-23 09:22 17K 
[   ]spvm-errno-doc-0.093-r0.apk2025-01-23 09:22 5.9K 
[   ]spvm-math-1.006-r0.apk2025-02-04 08:32 20K 
[   ]spvm-math-doc-1.006-r0.apk2025-02-04 08:32 6.8K 
[   ]spvm-mime-base64-1.003-r0.apk2025-02-04 08:32 16K 
[   ]spvm-mime-base64-doc-1.003-r0.apk2025-02-04 08:32 5.3K 
[   ]spvm-thread-0.003-r0.apk2025-02-04 08:32 12K 
[   ]spvm-thread-doc-0.003-r0.apk2025-02-04 08:32 5.8K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 22:50 12K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 22:50 3.3K 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 22:50 92K 
[   ]sqlmap-1.9.4-r0.apk2025-04-14 02:03 6.8M 
[   ]sqlmap-pyc-1.9.4-r0.apk2025-04-14 02:03 1.2M 
[   ]sqlx-0.8.5-r0.apk2025-04-17 22:30 1.8M 
[   ]sqlx-bash-completion-0.8.5-r0.apk2025-04-17 22:30 3.0K 
[   ]sqlx-doc-0.8.5-r0.apk2025-04-17 22:30 6.0K 
[   ]sqlx-fish-completion-0.8.5-r0.apk2025-04-17 22:30 3.5K 
[   ]sqlx-zsh-completion-0.8.5-r0.apk2025-04-17 22:30 4.1K 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 22:50 20K 
[   ]sqruff-0.25.26-r0.apk2025-04-13 22:35 2.1M 
[   ]sqruff-doc-0.25.26-r0.apk2025-04-13 22:35 8.6K 
[   ]srain-1.8.0-r0.apk2024-11-18 20:18 165K 
[   ]srain-lang-1.8.0-r0.apk2024-11-18 20:18 35K 
[   ]srb2-2.2.15-r1.apk2025-04-10 22:34 1.9M 
[   ]srb2-data-2.2.15-r1.apk2025-04-10 22:34 160M 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 22:51 91K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 22:51 18K 
[   ]ssh-cert-authority-2.0.0-r25.apk2025-04-12 08:15 4.8M 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 22:51 8.6K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 22:51 2.0K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 22:51 26K 
[   ]sshs-4.7.2-r0.apk2025-04-24 00:42 714K 
[   ]sshsrv-1.0-r11.apk2025-04-12 08:15 1.0M 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 22:51 62K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 22:51 8.5K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 22:51 101K 
[   ]sssd-2.10.2-r1.apk2025-03-21 20:36 2.1M 
[   ]sssd-dev-2.10.2-r1.apk2025-03-21 20:36 13K 
[   ]sssd-openrc-2.10.2-r1.apk2025-03-21 20:36 1.6K 
[   ]ssss-0.5.7-r0.apk2024-10-25 22:51 13K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 22:51 3.6K 
[   ]stacker-1.0.0-r4.apk2025-04-12 08:15 23M 
[   ]stacker-doc-1.0.0-r4.apk2025-04-12 08:15 15K 
[   ]starfighter-2.4-r0.apk2024-10-25 22:51 48M 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 22:51 22K 
[   ]startup-2.0.3-r5.apk2024-10-25 22:51 442K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 22:51 33K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 22:51 33K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 22:51 5.8K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 22:51 48K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 22:51 5.4K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 22:51 17K 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 22:51 13K 
[   ]stayrtr-0.6.2-r2.apk2025-04-12 08:15 10M 
[   ]stayrtr-openrc-0.6.2-r2.apk2025-04-12 08:15 2.0K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 22:51 146K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 22:51 14K 
[   ]stern-1.32.0-r3.apk2025-04-12 08:15 17M 
[   ]stern-bash-completion-1.32.0-r3.apk2025-04-12 08:15 5.8K 
[   ]stern-fish-completion-1.32.0-r3.apk2025-04-12 08:15 4.3K 
[   ]stern-zsh-completion-1.32.0-r3.apk2025-04-12 08:15 4.0K 
[   ]stgit-2.4.7-r1.apk2024-10-25 22:51 2.0M 
[   ]stgit-bash-completion-2.4.7-r1.apk2024-10-25 22:51 17K 
[   ]stgit-doc-2.4.7-r1.apk2024-10-25 22:51 126K 
[   ]stgit-emacs-2.4.7-r1.apk2024-10-25 22:51 28K 
[   ]stgit-fish-completion-2.4.7-r1.apk2024-10-25 22:51 12K 
[   ]stgit-vim-2.4.7-r1.apk2024-10-25 22:51 3.5K 
[   ]stgit-zsh-completion-2.4.7-r1.apk2024-10-25 22:51 24K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 22:51 57K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 22:51 18K 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 22:51 1.9K 
[   ]stockfish-17-r0.apk2025-03-03 23:43 62M 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 22:51 33M 
[   ]stw-0.3-r0.apk2024-10-25 22:51 7.7K 
[   ]stw-doc-0.3-r0.apk2024-10-25 22:51 2.5K 
[   ]subdl-0_git20230616-r1.apk2024-10-25 22:51 8.7K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-10-25 22:51 14K 
[   ]sublime-music-0.12.0-r1.apk2024-10-25 22:51 189K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-25 22:51 302K 
[   ]subliminal-2.2.1-r0.apk2024-10-25 22:51 68K 
[   ]subliminal-pyc-2.2.1-r0.apk2024-10-25 22:51 135K 
[   ]sudo-ldap-1.9.14-r1.apk2024-10-25 22:51 703K 
[   ]supersonik-0.1.0-r2.apk2025-04-13 15:47 1.1M 
[   ]surf-2.1-r3.apk2024-10-25 22:51 22K 
[   ]surf-doc-2.1-r3.apk2024-10-25 22:51 4.6K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 22:51 79K 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 22:51 18K 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-09 19:33 2.9M 
[   ]svls-0.2.12-r0.apk2024-10-25 22:51 3.7M 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 22:51 2.2K 
[   ]swaks-20240103.0-r0.apk2024-10-25 22:51 66K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 22:51 50K 
[   ]swappy-1.5.1-r0.apk2024-10-25 22:51 28K 
[   ]swappy-doc-1.5.1-r0.apk2024-10-25 22:51 3.6K 
[   ]swappy-lang-1.5.1-r0.apk2024-10-25 22:51 3.6K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 22:51 10K 
[   ]swayhide-0.2.1-r2.apk2024-10-25 22:51 270K 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 11:08 5.0M 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 11:08 2.1M 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 11:08 22K 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 11:08 922K 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 11:08 1.0M 
[   ]swig3-3.0.12-r3.apk2024-10-25 22:51 1.2M 
[   ]swig3-doc-3.0.12-r3.apk2024-10-25 22:51 3.7K 
[   ]sxcs-1.1.0-r0.apk2024-10-25 22:51 8.4K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 22:51 2.6K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 22:51 8.4K 
[   ]symbiyosys-0.36-r0.apk2024-10-25 22:51 38K 
[   ]symengine-0.12.0-r0.apk2024-10-25 22:51 6.1M 
[   ]symlinks-1.4.3-r0.apk2025-04-21 23:27 6.3K 
[   ]symlinks-doc-1.4.3-r0.apk2025-04-21 23:27 3.8K 
[   ]sympow-2.023.7-r2.apk2024-10-25 22:51 1.8M 
[   ]sympow-doc-2.023.7-r2.apk2024-10-25 22:51 3.1K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 22:51 440K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 22:51 2.2K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 22:51 220K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 22:51 8.7K 
[   ]tabby-3.1-r1.apk2024-10-25 22:51 32K 
[   ]tabby-doc-3.1-r1.apk2024-10-25 22:51 2.2K 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 22:51 109K 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 22:51 1.9M 
[   ]tanidvr-1.4.1-r2.apk2025-03-21 12:52 26K 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-21 12:52 15K 
[   ]tanka-0.31.3-r1.apk2025-04-12 08:15 4.4M 
[   ]tartube-2.5.0-r1.apk2024-10-25 22:51 2.7M 
[   ]tartube-pyc-2.5.0-r1.apk2024-10-25 22:51 1.1M 
[   ]taskcafe-0.3.6-r12.apk2025-04-12 08:15 13M 
[   ]taskcafe-openrc-0.3.6-r12.apk2025-04-12 08:15 1.8K 
[   ]tayga-0.9.2-r0.apk2024-10-25 22:51 25K 
[   ]tayga-doc-0.9.2-r0.apk2024-10-25 22:51 5.5K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 22:51 34K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 22:51 38K 
[   ]tcl9-9.0.1-r0.apk2024-12-22 07:17 1.9M 
[   ]tcl9-dev-9.0.1-r0.apk2024-12-22 07:17 185K 
[   ]tcl9-doc-9.0.1-r0.apk2024-12-22 07:17 1.4M 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-25 22:51 88K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-25 22:51 2.5K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-25 22:51 13K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 22:51 12K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 22:51 9.1K 
[   ]tealdeer-1.7.2-r0.apk2025-03-23 14:22 894K 
[   ]tealdeer-bash-completion-1.7.2-r0.apk2025-03-23 14:22 2.0K 
[   ]tealdeer-fish-completion-1.7.2-r0.apk2025-03-23 14:22 2.2K 
[   ]tealdeer-zsh-completion-1.7.2-r0.apk2025-03-23 14:22 2.3K 
[   ]telegram-bot-api-9.0-r0.apk2025-04-14 04:20 7.3M 
[   ]telegram-tdlib-1.8.47-r0.apk2025-04-14 04:20 7.3M 
[   ]telegram-tdlib-dev-1.8.47-r0.apk2025-04-14 04:20 182K 
[   ]telegram-tdlib-static-1.8.47-r0.apk2025-04-14 04:20 28M 
[   ]templ-0.3.850-r1.apk2025-04-12 08:15 4.6M 
[   ]tenv-4.2.4-r2.apk2025-04-12 08:15 9.2M 
[   ]tenv-bash-completion-4.2.4-r2.apk2025-04-12 08:15 5.1K 
[   ]tenv-fish-completion-4.2.4-r2.apk2025-04-12 08:15 4.3K 
[   ]tenv-zsh-completion-4.2.4-r2.apk2025-04-12 08:15 4.0K 
[   ]termbox-1.1.2-r1.apk2024-10-25 22:51 13K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 22:51 5.7K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 22:51 20K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 22:51 1.4K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 22:51 6.8K 
[   ]terminalpp-0.8.4-r0.apk2024-10-25 22:51 417K 
[   ]terminalpp-ropen-0.8.4-r0.apk2024-10-25 22:51 58K 
[   ]tfupdate-0.8.2-r5.apk2025-04-12 08:15 4.7M 
[   ]tfupdate-doc-0.8.2-r5.apk2025-04-12 08:15 2.3K 
[   ]thanos-0.31.0-r12.apk2025-04-12 08:15 20M 
[   ]thanos-openrc-0.31.0-r12.apk2025-04-12 08:15 1.9K 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 22:51 6.9M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 22:51 6.3M 
[   ]thefuck-3.32-r5.apk2024-10-25 22:51 83K 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 22:51 156K 
[   ]thelounge-4.4.3-r0.apk2024-10-25 22:51 29M 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 22:51 2.3K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 22:51 2.0K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 22:51 39K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 22:51 2.3K 
[   ]throttled-0.10.0-r1.apk2024-12-15 20:24 15K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 20:24 1.6K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 20:24 28K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 22:51 11K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-25 22:51 23K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 22:51 9.8K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 22:51 25K 
[   ]tick-1.2.2-r0.apk2025-01-14 02:26 11K 
[   ]tick-doc-1.2.2-r0.apk2025-01-14 02:26 5.5K 
[   ]ticker-4.8.1-r1.apk2025-04-12 08:15 3.9M 
[   ]ticker-bash-completion-4.8.1-r1.apk2025-04-12 08:15 4.6K 
[   ]ticker-fish-completion-4.8.1-r1.apk2025-04-12 08:15 3.9K 
[   ]ticker-zsh-completion-4.8.1-r1.apk2025-04-12 08:15 3.7K 
[   ]timeshift-24.06.6-r0.apk2025-04-24 00:42 457K 
[   ]timeshift-doc-24.06.6-r0.apk2025-04-24 00:42 3.2K 
[   ]timeshift-lang-24.06.6-r0.apk2025-04-24 00:42 917K 
[   ]timew-1.4.3-r1.apk2024-10-25 22:51 275K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 22:51 2.7K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 22:51 53K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 22:51 270K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 22:51 22K 
[   ]timoni-0.23.0-r4.apk2025-04-12 08:15 23M 
[   ]timoni-bash-completion-0.23.0-r4.apk2025-04-12 08:15 8.0K 
[   ]timoni-doc-0.23.0-r4.apk2025-04-12 08:15 338K 
[   ]timoni-fish-completion-0.23.0-r4.apk2025-04-12 08:15 4.3K 
[   ]timoni-zsh-completion-0.23.0-r4.apk2025-04-12 08:15 4.0K 
[   ]tintin-2.02.31-r0.apk2024-10-25 22:51 1.9M 
[   ]tinygltf-2.9.4-r0.apk2025-01-19 12:57 142K 
[   ]tinygltf-dev-2.9.4-r0.apk2025-01-19 12:57 57K 
[   ]tinymist-0.13.12-r0.apk2025-05-03 11:23 17M 
[   ]tinyscheme-1.42-r1.apk2024-10-25 22:51 61K 
[   ]tk9-9.0.1-r0.apk2024-12-22 07:17 873K 
[   ]tk9-dev-9.0.1-r0.apk2024-12-22 07:17 82K 
[   ]tk9-doc-9.0.1-r0.apk2024-12-22 07:17 1.3M 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 18:09 12K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 18:09 3.5K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 18:09 14K 
[   ]tmate-2.4.0-r4.apk2024-10-25 22:51 283K 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 22:51 72K 
[   ]tmpl-0.4.0-r10.apk2025-04-12 08:15 2.6M 
[   ]tmpl-doc-0.4.0-r10.apk2025-04-12 08:15 2.3K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 22:51 7.0K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 22:51 3.2K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 22:51 14K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 22:51 8.4K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 22:51 22K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 22:51 3.9K 
[   ]tnef-1.4.18-r0.apk2024-10-25 22:51 25K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 22:51 4.2K 
[   ]toapk-1.0-r0.apk2024-10-25 22:51 10K 
[   ]today-6.2.0-r0.apk2024-10-25 22:51 3.1K 
[   ]today-doc-6.2.0-r0.apk2024-10-25 22:51 3.3K 
[   ]tonutils-reverse-proxy-0.4.0-r2.apk2025-04-12 08:15 3.4M 
[   ]tonutils-reverse-proxy-doc-0.4.0-r2.apk2025-04-12 08:15 3.2K 
[   ]topgit-0.19.13-r1.apk2024-10-25 22:51 127K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 22:51 4.0K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 22:51 73K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 22:51 362K 
[   ]toss-1.1-r0.apk2024-10-25 22:51 10K 
[   ]toybox-0.8.11-r1.apk2024-10-25 22:51 281K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 22:51 131K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 22:51 1.9K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 22:51 69K 
[   ]tpp-bypass-0.8.4-r0.apk2024-10-25 22:51 13K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-19 12:57 166K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-19 12:57 3.4K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-19 12:57 516K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-19 12:57 171K 
[   ]transito-0.9.1-r5.apk2025-04-12 08:15 8.2M 
[   ]transito-doc-0.9.1-r5.apk2025-04-12 08:15 755K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 22:51 130K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 22:51 3.2K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 22:51 106K 
[   ]trantor-1.5.18-r0.apk2024-10-25 22:51 228K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 22:51 34K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 22:51 2.6K 
[   ]tre-0.8.0-r2.apk2024-10-25 22:51 27K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 22:51 5.1K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 22:51 51K 
[   ]tree-sitter-c-sharp-0.23.1-r1.apk2025-02-26 18:29 349K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 22:51 76K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 22:51 2.3K 
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-10-25 22:51 24K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-11 00:04 103K 
[   ]tree-sitter-git-commit-0_git20211225-r3.apk2025-02-26 18:29 14K 
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2024-10-25 22:51 11K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-11 00:04 5.6K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-10-25 22:51 44K 
[   ]tree-sitter-hare-0_git20230616-r1.apk2024-10-25 22:51 35K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 16:52 289K 
[   ]tree-sitter-hcl-1.1.0-r1.apk2024-10-25 22:51 45K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 22:51 15K 
[   ]tree-sitter-kotlin-0.3.8-r0.apk2024-10-25 22:51 322K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 22:51 43K 
[   ]tree-sitter-nix-0.0.2-r0.apk2025-02-02 04:30 24K 
[   ]tree-sitter-nix-doc-0.0.2-r0.apk2025-02-02 04:30 2.2K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 22:51 84K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 22:51 2.3K 
[   ]tree-sitter-ron-0.2.0-r0.apk2024-10-25 22:51 32K 
[   ]tree-sitter-scheme-0.23.0-r0.apk2024-10-25 22:51 24K 
[   ]tree-sitter-scheme-doc-0.23.0-r0.apk2024-10-25 22:51 2.2K 
[   ]tree-sitter-ssh-client-config-2025.5.8-r0.apk2025-05-08 17:47 83K 
[   ]tree-sitter-xml-0.7.0-r0.apk2024-11-14 14:06 35K 
[   ]tree-sitter-xml-doc-0.7.0-r0.apk2024-11-14 14:06 2.2K 
[   ]tree-sitter-yaml-0.7.0-r0.apk2025-04-12 15:06 39K 
[   ]tree-sitter-yaml-doc-0.7.0-r0.apk2025-04-12 15:06 2.3K 
[   ]tremc-0.9.3-r0.apk2024-10-25 22:51 48K 
[   ]tremc-bash-completion-0.9.3-r0.apk2024-10-25 22:51 1.9K 
[   ]tremc-doc-0.9.3-r0.apk2024-10-25 22:51 2.9K 
[   ]tremc-zsh-completion-0.9.3-r0.apk2024-10-25 22:51 1.8K 
[   ]trigger-rally-0.6.7-r3.apk2025-03-25 15:44 304K 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-25 15:44 352M 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-25 15:44 28K 
[   ]trivy-0.62.0-r0.apk2025-05-02 00:49 62M 
[   ]tsung-1.8.0-r2.apk2024-10-25 22:52 730K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 22:52 28K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 22:52 247K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 22:52 8.1K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 22:52 58K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 22:52 110K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 22:52 8.2K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 22:52 3.1K 
[   ]tty-share-2.4.0-r17.apk2025-04-12 08:15 3.6M 
[   ]ttyper-1.6.0-r0.apk2025-02-05 22:47 600K 
[   ]tui-journal-0.10.0-r0.apk2025-02-17 13:09 2.0M 
[   ]tui-journal-doc-0.10.0-r0.apk2025-02-17 13:09 6.8K 
[   ]tuned-2.25.1-r1.apk2025-03-19 12:46 159K 
[   ]tuned-bash-completion-2.25.1-r1.apk2025-03-19 12:46 1.9K 
[   ]tuned-doc-2.25.1-r1.apk2025-03-19 12:46 79K 
[   ]tuned-gtk-2.25.1-r1.apk2025-03-19 12:46 22K 
[   ]tuned-openrc-2.25.1-r1.apk2025-03-19 12:46 1.6K 
[   ]tuned-ppd-2.25.1-r1.apk2025-03-19 12:46 3.6K 
[   ]tuned-ppd-openrc-2.25.1-r1.apk2025-03-19 12:46 1.7K 
[   ]tuned-profiles-2.25.1-r1.apk2025-03-19 12:46 8.1K 
[   ]tuned-profiles-compat-2.25.1-r1.apk2025-03-19 12:46 3.5K 
[   ]tuned-pyc-2.25.1-r1.apk2025-03-19 12:46 333K 
[   ]tuned-utils-2.25.1-r1.apk2025-03-19 12:46 11K 
[   ]tup-0.7.11-r0.apk2024-10-25 22:52 235K 
[   ]tup-doc-0.7.11-r0.apk2024-10-25 22:52 21K 
[   ]tup-vim-0.7.11-r0.apk2024-10-25 22:52 2.6K 
[   ]tuptime-5.2.4-r1.apk2024-11-28 23:44 14K 
[   ]tuptime-doc-5.2.4-r1.apk2024-11-28 23:44 3.7K 
[   ]tuptime-openrc-5.2.4-r1.apk2024-11-28 23:44 1.7K 
[   ]turn-rs-3.4.0-r0.apk2025-03-16 00:07 586K 
[   ]turn-rs-doc-3.4.0-r0.apk2025-03-16 00:07 11K 
[   ]turn-rs-openrc-3.4.0-r0.apk2025-03-16 00:07 1.9K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 22:52 38K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 22:52 5.7K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 22:52 1.8K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 22:52 68K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 22:52 17K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 22:55 2.4M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 22:55 3.5K 
[   ]typlite-0.13.12-r0.apk2025-05-03 11:23 13M 
[   ]typobuster-1.0.0-r0.apk2025-04-24 00:42 129K 
[   ]typos-lsp-0.1.37-r0.apk2025-05-05 15:45 2.5M 
[   ]typos-lsp-doc-0.1.37-r0.apk2025-05-05 15:45 2.3K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 15:22 515K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 22:52 96K 
[   ]ubase-20200605-r3.apk2024-10-25 22:52 44K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 22:52 21K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 22:52 16KUbuntu APT repository
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 22:52 2.3KUbuntu APT repository
[   ]uclient-20210514-r0.apk2024-10-25 22:52 13K 
[   ]uclient-dev-20210514-r0.apk2024-10-25 22:52 3.4K 
[   ]uclient-fetch-20210514-r0.apk2024-10-25 22:52 9.7K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 12:09 66K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 12:09 64K 
[   ]uhttpd-0_git20250406-r0.apk2025-04-21 12:43 27K 
[   ]uhttpd-mod-lua-0_git20250406-r0.apk2025-04-21 12:43 5.9K 
[   ]uhttpd-mod-tls-0_git20250406-r0.apk2025-04-21 12:43 1.3K 
[   ]uhttpd-mod-ucode-0_git20250406-r0.apk2025-04-21 12:43 7.1K 
[   ]uhttpd-openrc-0_git20250406-r0.apk2025-04-21 12:43 4.1K 
[   ]undock-0.9.0-r4.apk2025-04-12 08:15 9.8M 
[   ]up-0.4-r28.apk2025-04-12 08:15 1.2M 
[   ]upterm-0.14.3-r4.apk2025-04-12 08:15 5.9M 
[   ]upterm-bash-completion-0.14.3-r4.apk2025-04-12 08:15 5.5K 
[   ]upterm-doc-0.14.3-r4.apk2025-04-12 08:15 6.4K 
[   ]upterm-server-0.14.3-r4.apk2025-04-12 08:15 5.7M 
[   ]upterm-server-openrc-0.14.3-r4.apk2025-04-12 08:15 1.9K 
[   ]upterm-zsh-completion-0.14.3-r4.apk2025-04-12 08:15 4.0K 
[   ]uranium-5.2.2-r3.apk2024-10-25 22:52 596K 
[   ]urlwatch-2.28-r2.apk2024-10-25 22:52 49K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 22:52 33K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 22:52 101K 
[   ]usbmuxd-1.1.1-r9.apk2025-04-18 16:40 33K 
[   ]usbmuxd-doc-1.1.1-r9.apk2025-04-18 16:40 3.0K 
[   ]usbmuxd-udev-1.1.1-r9.apk2025-04-18 16:40 2.0K 
[   ]usbtop-1.0-r0.apk2025-04-12 14:26 13K 
[   ]ustr-1.0.4-r1.apk2024-10-25 22:52 56K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 22:52 75K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 22:52 91K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 22:52 97K 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 22:52 323K 
[   ]ustream-ssl-20220116-r1.apk2024-10-25 22:52 6.7K 
[   ]ustream-ssl-dev-20220116-r1.apk2024-10-25 22:52 2.5K 
[   ]uucp-1.07-r6.apk2024-10-25 22:52 507K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 22:52 118K 
[   ]uxn-1.0-r0.apk2024-10-25 22:52 43K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 22:52 4.2K 
[   ]uxplay-1.71.1-r0.apk2025-01-25 17:18 217K 
[   ]uxplay-doc-1.71.1-r0.apk2025-01-25 17:18 4.3K 
[   ]vals-0.40.1-r0.apk2025-05-04 18:46 27M 
[   ]varnish-modules-0.24.0-r0.apk2024-10-25 22:52 42K 
[   ]varnish-modules-doc-0.24.0-r0.apk2024-10-25 22:52 21K 
[   ]vbindiff-3.0_beta5-r1.apk2024-10-25 22:52 21K 
[   ]vbindiff-doc-3.0_beta5-r1.apk2024-10-25 22:52 5.4K 
[   ]vcdimager-2.0.1-r5.apk2025-01-22 11:33 482K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-22 11:33 174K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-22 11:33 74K 
[   ]vcmi-1.6.8-r0.apk2025-04-27 15:40 13M 
[   ]vcsh-2.0.5-r0.apk2024-10-25 22:52 8.8K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 22:52 2.9K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 22:52 27K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 22:52 2.9K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 22:52 35K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 22:52 1.7K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 22:52 58K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 22:52 1.6K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 22:52 1.7K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 22:52 282K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 22:52 2.3K 
[   ]vera++-1.3.0-r10.apk2024-10-25 22:52 248K 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 22:52 25K 
[   ]vice-3.9-r0.apk2025-02-25 00:50 14M 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 22:52 2.8M 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 22:52 24K 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 22:52 1.9M 
[   ]video-trimmer-0.9.0-r0.apk2025-04-27 15:40 789K 
[   ]video-trimmer-lang-0.9.0-r0.apk2025-04-27 15:40 90K 
[   ]viewnior-1.8-r1.apk2024-10-25 22:52 74K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 22:52 2.1K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 22:52 85K 
[   ]vile-9.8z_p1-r0.apk2025-03-20 05:27 783K 
[   ]vile-common-9.8z_p1-r0.apk2025-03-20 05:27 362K 
[   ]vile-doc-9.8z_p1-r0.apk2025-03-20 05:27 357K 
[   ]vim-airline-0.11-r0.apk2024-10-25 22:52 87K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 22:52 12K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 22:00 67K 
[   ]vim-rust-305-r0.apk2024-10-25 22:52 20K 
[   ]virtctl-1.5.0-r1.apk2025-04-12 08:15 14M 
[   ]virtctl-bash-completion-1.5.0-r1.apk2025-04-12 08:15 5.1K 
[   ]virtctl-fish-completion-1.5.0-r1.apk2025-04-12 08:15 4.3K 
[   ]virtctl-zsh-completion-1.5.0-r1.apk2025-04-12 08:15 4.0K 
[   ]virter-0.28.1-r4.apk2025-04-12 08:15 5.7M 
[   ]virter-bash-completion-0.28.1-r4.apk2025-04-12 08:15 5.1K 
[   ]virter-doc-0.28.1-r4.apk2025-04-12 08:15 15K 
[   ]virter-fish-completion-0.28.1-r4.apk2025-04-12 08:15 4.3K 
[   ]virter-zsh-completion-0.28.1-r4.apk2025-04-12 08:15 4.1K 
[   ]visidata-2.11.1-r2.apk2024-10-25 22:52 248K 
[   ]visidata-doc-2.11.1-r2.apk2024-10-25 22:52 16K 
[   ]visidata-pyc-2.11.1-r2.apk2024-10-25 22:52 496K 
[   ]visidata-zsh-completion-2.11.1-r2.apk2024-10-25 22:52 7.2K 
[   ]vit-2.3.2-r1.apk2024-10-25 22:52 80K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 22:52 151K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 22:52 501K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 22:52 3.1K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 22:52 12K 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 22:52 7.0K 
[   ]voikko-fi-2.5-r0.apk2024-10-25 22:52 1.6M 
[   ]volatility3-2.11.0-r0.apk2025-02-17 13:09 563K 
[   ]volatility3-doc-2.11.0-r0.apk2025-02-17 13:09 3.1K 
[   ]volatility3-pyc-2.11.0-r0.apk2025-02-17 13:09 1.0M 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 22:52 41K 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 22:52 3.7K 
[   ]vym-2.9.26-r0.apk2024-10-25 22:52 2.8M 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 22:52 3.4M 
[   ]w_scan2-1.0.16-r0.apk2025-02-17 13:09 146K 
[   ]w_scan2-doc-1.0.16-r0.apk2025-02-17 13:09 4.1K 
[   ]wabt-1.0.37-r0.apk2025-04-06 23:42 4.8M 
[   ]wabt-doc-1.0.37-r0.apk2025-04-06 23:42 13K 
[   ]waifu2x-converter-cpp-5.3.4-r8.apk2025-01-16 23:10 12M 
[   ]wakeonlan-0.42-r0.apk2024-10-25 22:52 4.5K 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 22:52 7.5K 
[   ]walk-1.13.0-r2.apk2025-04-12 08:15 2.9M 
[   ]walk-doc-1.13.0-r2.apk2025-04-12 08:15 2.2K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 22:52 5.4K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 22:52 7.8K 
[   ]warp-s3-1.1.1-r1.apk2025-04-12 08:15 6.8M 
[   ]warpinator-1.8.8-r1.apk2025-03-31 17:46 216K 
[   ]warpinator-lang-1.8.8-r1.apk2025-03-31 17:46 222K 
[   ]warpinator-nemo-1.8.8-r1.apk2025-03-31 17:46 4.1K 
[   ]watchbind-0.2.1-r1.apk2024-10-25 22:52 1.1M 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 22:52 6.6K 
[   ]watchdog-5.16-r2.apk2024-10-25 22:52 43K 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 22:52 14K 
[   ]way-displays-1.14.0-r0.apk2025-04-20 22:05 106K 
[   ]way-displays-doc-1.14.0-r0.apk2025-04-20 22:05 4.5K 
[   ]waycheck-1.6.0-r0.apk2025-02-23 22:54 43K 
[   ]wayfire-0.9.0-r0.apk2025-02-27 21:16 2.6M 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 21:16 136K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 21:16 3.6K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 21:16 580K 
[   ]waynergy-0.0.17-r0.apk2024-10-25 22:52 53K 
[   ]wayqt-0.3.0-r0.apk2025-04-21 23:41 103K 
[   ]wayqt-dev-0.3.0-r0.apk2025-04-21 23:41 18K 
[   ]wbg-1.2.0-r0.apk2024-10-25 22:52 40K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 22:52 11K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 22:52 2.7K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 22:52 1.6K 
[   ]wcm-0.9.0-r0.apk2025-02-27 21:16 373K 
[   ]webhookd-1.20.1-r3.apk2025-04-12 08:15 3.2M 
[   ]webhookd-doc-1.20.1-r3.apk2025-04-12 08:15 2.3K 
[   ]webhookd-openrc-1.20.1-r3.apk2025-04-12 08:15 2.3K 
[   ]webtunnel-0.0.2-r0.apk2025-05-06 17:20 3.6M 
[   ]welle-cli-2.7-r0.apk2025-04-07 21:13 306K 
[   ]welle-io-2.7-r0.apk2025-04-07 21:13 401K 
[   ]welle-io-doc-2.7-r0.apk2025-04-07 21:13 4.0K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 21:16 108K 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 21:16 16K 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 21:16 6.1M 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 21:16 1.7K 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 21:16 3.1K 
[   ]wgcf-2.2.25-r1.apk2025-04-12 08:15 3.9M 
[   ]wgcf-bash-completion-2.2.25-r1.apk2025-04-12 08:15 6.1K 
[   ]wgcf-fish-completion-2.2.25-r1.apk2025-04-12 08:15 4.3K 
[   ]wgcf-zsh-completion-2.2.25-r1.apk2025-04-12 08:15 4.0K 
[   ]whipper-0.10.0-r5.apk2024-10-25 22:52 113K 
[   ]whipper-pyc-0.10.0-r5.apk2024-10-25 22:52 185K 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 22:52 1.6M 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 22:52 4.6K 
[   ]wireguard-go-0.0.20230223-r11.apk2025-04-12 08:15 1.2M 
[   ]wireguard-go-doc-0.0.20230223-r11.apk2025-04-12 08:15 3.6K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 22:52 22K 
[   ]witchery-0.0.3-r2.apk2024-10-25 22:52 3.2K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 22:52 3.4K 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 22:52 2.9K 
[   ]wl-gammarelay-0.1.1-r13.apk2025-04-12 08:15 1.6M 
[   ]wl-ime-type-0.1.1-r0.apk2025-04-13 11:16 6.0K 
[   ]wl-ime-type-doc-0.1.1-r0.apk2025-04-13 11:16 2.2K 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 22:52 12K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 22:52 16K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 22:52 3.5K 
[   ]wlroots0.12-0.12.0-r1.apk2024-10-25 22:52 240K 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2024-10-25 22:52 1.0M 
[   ]wlroots0.12-dev-0.12.0-r1.apk2024-10-25 22:52 61K 
[   ]wlroots0.15-0.15.1-r6.apk2024-10-25 22:52 293K 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2024-10-25 22:52 1.2M 
[   ]wlroots0.15-dev-0.15.1-r6.apk2024-10-25 22:52 70K 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 14:47 347K 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 14:47 1.3M 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 14:47 71K 
[   ]wlroots0.17-0.17.4-r1.apk2024-11-23 10:17 385K 
[   ]wlroots0.17-dbg-0.17.4-r1.apk2024-11-23 10:17 1.5M 
[   ]wlroots0.17-dev-0.17.4-r1.apk2024-11-23 10:17 77K 
[   ]wmctrl-1.07-r1.apk2024-10-25 22:52 14K 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 22:52 5.3K 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 22:52 2.5M 
[   ]wok-3.0.0-r6.apk2024-10-25 22:52 157K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 22:52 3.6K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 22:52 16K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 22:52 130K 
[   ]wol-0.7.1-r3.apk2024-10-25 22:52 26K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 22:52 5.5K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 22:52 8.2K 
[   ]wolfssh-1.4.17-r0.apk2024-10-25 22:52 137K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-10-25 22:52 274K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 22:52 9.7K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 22:52 2.2K 
[   ]wput-0.6.2-r4.apk2024-10-25 22:52 39K 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 22:52 8.2K 
[   ]wroomd-0.1.0-r0.apk2024-10-25 22:52 1.0M 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 22:52 1.7K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 22:52 14K 
[   ]wsmancli-2.6.2-r0.apk2024-10-25 22:52 17K 
[   ]wsmancli-doc-2.6.2-r0.apk2024-10-25 22:52 3.7K 
[   ]wtfutil-0.43.0-r12.apk2025-04-12 08:15 19M 
[   ]x11docker-7.6.0-r1.apk2024-10-25 22:53 113K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 22:53 9.4K 
[   ]xa-2.4.1-r0.apk2025-02-25 13:36 82K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 13:36 17K 
[   ]xcape-1.2-r0.apk2024-10-25 22:53 6.7K 
[   ]xcape-doc-1.2-r0.apk2024-10-25 22:53 3.1K 
[   ]xcompmgr-1.1.9-r0.apk2024-10-25 22:53 14K 
[   ]xcompmgr-doc-1.1.9-r0.apk2024-10-25 22:53 2.6K 
[   ]xdg-desktop-portal-hyprland-1.3.3-r0.apk2024-10-25 22:53 262K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk2024-10-25 22:53 2.4K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 22:53 70K 
[   ]xed-3.8.2-r0.apk2025-02-05 23:20 1.1M 
[   ]xed-dev-3.8.2-r0.apk2025-02-05 23:20 14K 
[   ]xed-doc-3.8.2-r0.apk2025-02-05 23:20 971K 
[   ]xed-lang-3.8.2-r0.apk2025-02-05 23:20 2.1M 
[   ]xed-python-3.8.2-r0.apk2025-02-05 23:20 24K 
[   ]xemu-0.8.34-r0.apk2025-03-11 21:08 4.5M 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 22:53 35K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 22:53 5.3K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 22:53 86K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 22:53 2.5K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 22:53 59K 
[   ]xfce4-panel-profiles-1.0.14-r1.apk2024-10-25 22:53 57K 
[   ]xfce4-panel-profiles-doc-1.0.14-r1.apk2024-10-25 22:53 19K 
[   ]xfce4-panel-profiles-lang-1.0.14-r1.apk2024-10-25 22:53 44K 
[   ]xfd-1.1.4-r0.apk2024-10-25 22:53 13K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 22:53 4.9K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 22:53 308K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 22:53 2.5K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 22:53 16K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 22:53 3.5K 
[   ]xisxwayland-2-r1.apk2024-10-25 22:53 3.9K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 22:53 2.0K 
[   ]xkb-switch-1.8.5-r0.apk2024-10-25 22:53 20K 
[   ]xkb-switch-doc-1.8.5-r0.apk2024-10-25 22:53 2.1K 
[   ]xload-1.1.4-r0.apk2024-10-25 22:53 6.7K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 22:53 3.4K 
[   ]xmag-1.0.8-r0.apk2024-10-25 22:53 17K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 22:53 4.7K 
[   ]xml2rfc-3.28.1-r0.apk2025-04-18 13:01 352K 
[   ]xml2rfc-pyc-3.28.1-r0.apk2025-04-18 13:01 407K 
[   ]xmp-4.2.0-r0.apk2024-10-25 22:53 23K 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 22:53 5.3K 
[   ]xmpp-dns-0.2.4-r23.apk2025-04-12 08:15 1.8M 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 22:53 16K 
[   ]xone-src-0.3_git20230517-r0.apk2024-10-25 22:53 43K 
[   ]xonsh-0.19.1-r0.apk2025-02-05 23:20 585K 
[   ]xonsh-pyc-0.19.1-r0.apk2025-02-05 23:20 1.0M 
[   ]xosview-1.24-r0.apk2024-10-25 22:53 121K 
[   ]xosview-doc-1.24-r0.apk2024-10-25 22:53 13K 
[   ]xsane-0.999-r2.apk2024-10-25 22:53 1.5M 
[   ]xsane-doc-0.999-r2.apk2024-10-25 22:53 4.3K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 22:53 440K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 22:53 67K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 22:53 18K 
[   ]xsoldier-1.8-r2.apk2024-10-25 22:53 68K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 22:53 2.6K 
[   ]xtensor-0.25.0-r0.apk2025-01-15 03:52 267K 
[   ]xtl-0.7.7-r0.apk2024-10-25 22:53 111K 
[   ]xva-img-1.5-r0.apk2024-10-25 22:53 17K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 22:53 16K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 22:53 4.1K 
[   ]xvile-9.8z_p1-r0.apk2025-03-20 05:27 810K 
[   ]xvkbd-4.1-r2.apk2024-10-25 22:53 294K 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 22:53 11K 
[   ]xwayland-satellite-0.5.1-r0.apk2025-03-03 00:02 840K 
[   ]xwaylandvideobridge-0.4.0-r1.apk2024-10-25 22:53 48K 
[   ]xwaylandvideobridge-lang-0.4.0-r1.apk2024-10-25 22:53 2.5K 
[   ]yaegi-0.16.1-r7.apk2025-04-12 08:15 7.1M 
[   ]yamkix-0.10.0-r1.apk2024-10-25 22:53 14K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 22:53 12K 
[   ]yarn-berry-4.9.1-r0.apk2025-04-15 23:58 1.0M 
[   ]yarr-2.4-r12.apk2025-04-12 08:15 4.2M 
[   ]yarr-doc-2.4-r12.apk2025-04-12 08:15 7.5K 
[   ]yarr-openrc-2.4-r12.apk2025-04-12 08:15 2.0K 
[   ]yaru-common-23.10.0-r2.apk2025-03-13 07:34 4.5K 
[   ]yaru-icon-theme-23.10.0-r2.apk2025-03-13 07:34 35M 
[   ]yaru-icon-theme-bark-23.10.0-r2.apk2025-03-13 07:34 1.0M 
[   ]yaru-icon-theme-blue-23.10.0-r2.apk2025-03-13 07:34 1.1M 
[   ]yaru-icon-theme-magenta-23.10.0-r2.apk2025-03-13 07:34 1.1M 
[   ]yaru-icon-theme-mate-23.10.0-r2.apk2025-03-13 07:34 1.2M 
[   ]yaru-icon-theme-olive-23.10.0-r2.apk2025-03-13 07:34 1.1M 
[   ]yaru-icon-theme-prussiangreen-23.10.0-r2.apk2025-03-13 07:34 1.1M 
[   ]yaru-icon-theme-purple-23.10.0-r2.apk2025-03-13 07:34 1.1M 
[   ]yaru-icon-theme-red-23.10.0-r2.apk2025-03-13 07:34 1.1M 
[   ]yaru-icon-theme-sage-23.10.0-r2.apk2025-03-13 07:34 1.1M 
[   ]yaru-icon-theme-viridian-23.10.0-r2.apk2025-03-13 07:34 1.1M 
[   ]yaru-schemas-23.10.0-r2.apk2025-03-13 07:34 1.8K 
[   ]yaru-shell-23.10.0-r2.apk2025-03-13 07:34 735K 
[   ]yaru-sounds-23.10.0-r2.apk2025-03-13 07:34 676K 
[   ]yaru-theme-23.10.0-r2.apk2025-03-13 07:34 839K 
[   ]yaru-theme-bark-23.10.0-r2.apk2025-03-13 07:34 763K 
[   ]yaru-theme-blue-23.10.0-r2.apk2025-03-13 07:34 765K 
[   ]yaru-theme-hdpi-23.10.0-r2.apk2025-03-13 07:34 73K 
[   ]yaru-theme-magenta-23.10.0-r2.apk2025-03-13 07:34 761K 
[   ]yaru-theme-mate-23.10.0-r2.apk2025-03-13 07:34 727K 
[   ]yaru-theme-olive-23.10.0-r2.apk2025-03-13 07:34 759K 
[   ]yaru-theme-prussiangreen-23.10.0-r2.apk2025-03-13 07:34 760K 
[   ]yaru-theme-purple-23.10.0-r2.apk2025-03-13 07:34 756K 
[   ]yaru-theme-red-23.10.0-r2.apk2025-03-13 07:34 760K 
[   ]yaru-theme-sage-23.10.0-r2.apk2025-03-13 07:34 762K 
[   ]yaru-theme-viridian-23.10.0-r2.apk2025-03-13 07:34 760K 
[   ]yass-2.5.0-r0.apk2025-02-12 15:31 14M 
[   ]yazi-25.4.8-r0.apk2025-04-09 00:21 4.7M 
[   ]yazi-bash-completion-25.4.8-r0.apk2025-04-09 00:21 2.1K 
[   ]yazi-cli-25.4.8-r0.apk2025-04-09 00:21 1.0M 
[   ]yazi-doc-25.4.8-r0.apk2025-04-09 00:21 2.3K 
[   ]yazi-fish-completion-25.4.8-r0.apk2025-04-09 00:21 1.9K 
[   ]yazi-zsh-completion-25.4.8-r0.apk2025-04-09 00:21 2.2K 
[   ]ydcv-0.7-r8.apk2024-10-25 22:53 20K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 22:53 11K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 22:53 2.2K 
[   ]yices2-2.6.5-r0.apk2025-03-03 00:07 2.7M 
[   ]yices2-dev-2.6.5-r0.apk2025-03-03 00:07 41K 
[   ]yices2-libs-2.6.5-r0.apk2025-03-03 00:07 1.0M 
[   ]ymuse-0.22-r0.apk2025-04-14 02:56 3.6M 
[   ]yodl-4.02.00-r1.apk2024-10-25 22:53 151K 
[   ]yodl-doc-4.02.00-r1.apk2024-10-25 22:53 59K 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-13 23:33 6.0M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-13 23:33 4.5M 
[   ]yosys-0.42-r0.apk2024-10-25 22:53 19M 
[   ]yosys-dev-0.42-r0.apk2024-10-25 22:53 119K 
[   ]youki-0.4.1-r0.apk2024-10-25 22:53 1.6M 
[   ]youki-dbg-0.4.1-r0.apk2024-10-25 22:53 4.6K 
[   ]youtube-tui-0.8.1-r0.apk2025-02-27 13:30 1.4M 
[   ]youtube-viewer-3.11.1-r0.apk2024-10-25 22:53 83K 
[   ]youtube-viewer-doc-3.11.1-r0.apk2024-10-25 22:53 41K 
[   ]youtube-viewer-gtk-3.11.1-r0.apk2024-10-25 22:53 171K 
[   ]ytmdl-2024.08.15.1-r0.apk2024-10-25 22:53 50K 
[   ]ytmdl-bash-completion-2024.08.15.1-r0.apk2024-10-25 22:53 2.2K 
[   ]ytmdl-pyc-2024.08.15.1-r0.apk2024-10-25 22:53 78K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r0.apk2024-10-25 22:53 2.1K 
[   ]ytt-0.52.0-r0.apk2025-05-06 12:01 4.4M 
[   ]yubikey-agent-0.1.6-r11.apk2025-04-12 08:15 1.8M 
[   ]z-1.12-r0.apk2024-10-25 22:53 4.6K 
[   ]z-doc-1.12-r0.apk2024-10-25 22:53 3.9K 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 22:53 19M 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 22:53 84K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 22:53 98K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 22:53 2.1K 
[   ]zapzap-6.0.1.8-r0.apk2025-04-14 02:56 109K 
[   ]zapzap-pyc-6.0.1.8-r0.apk2025-04-14 02:56 126K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 22:53 15K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 22:53 6.7K 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 22:53 26K 
[   ]zfs-src-2.2.4-r1.apk2025-01-09 12:46 32M 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 22:53 128K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 22:53 16K 
[   ]zile-2.6.2-r1.apk2024-10-25 22:53 120K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 22:53 16K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 22:53 32K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 22:53 5.2K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-14 23:16 25K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-14 23:16 3.3K 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-14 23:16 4.0K 
[   ]zlevis-1.3-r0.apk2025-03-14 18:31 5.2K 
[   ]znc-backlog-0_git20210503-r8.apk2024-10-25 22:53 21K 
[   ]znc-clientbuffer-1.0.48-r8.apk2024-10-25 22:53 18K 
[   ]znc-playback-0_git20210503-r8.apk2024-10-25 22:53 20K 
[   ]znc-push-0_git20220823-r8.apk2024-10-25 22:53 90K 
[   ]zot-2.1.2-r3.apk2025-04-12 08:15 70M 
[   ]zot-cli-2.1.2-r3.apk2025-04-12 08:15 9.6M 
[   ]zot-cli-bash-completion-2.1.2-r3.apk2025-04-12 08:15 5.1K 
[   ]zot-cli-fish-completion-2.1.2-r3.apk2025-04-12 08:15 4.3K 
[   ]zot-cli-zsh-completion-2.1.2-r3.apk2025-04-12 08:15 4.0K 
[   ]zot-doc-2.1.2-r3.apk2025-04-12 08:15 7.7K 
[   ]zot-exporter-2.1.2-r3.apk2025-04-12 08:15 4.8M 
[   ]zot-openrc-2.1.2-r3.apk2025-04-12 08:15 2.1K 
[   ]zrepl-0.6.1-r10.apk2025-04-12 08:15 6.6M 
[   ]zrepl-bash-completion-0.6.1-r10.apk2025-04-12 08:15 4.5K 
[   ]zrepl-openrc-0.6.1-r10.apk2025-04-12 08:15 1.7K 
[   ]zrepl-zsh-completion-0.6.1-r10.apk2025-04-12 08:15 2.0K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 22:53 16K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 22:53 2.8K 
[   ]zutty-0.16-r0.apk2025-01-12 22:02 159K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 22:02 67K 
[   ]zvbi-0.2.44-r0.apk2025-03-11 21:33 188K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-11 21:33 21K 
[   ]zycore-1.5.0-r0.apk2024-10-25 22:53 21K 
[   ]zycore-dev-1.5.0-r0.apk2024-10-25 22:53 38K 
[   ]zycore-doc-1.5.0-r0.apk2024-10-25 22:53 407K 

Sample /etc/apt/sources.list with HTTPS:

deb https://debian.ethz.ch/debian stable main contrib non-free
deb-src https://debian.ethz.ch/debian stable main contrib non-free

Sample /etc/apt/sources.list without HTTPS:

deb http://debian.ethz.ch/debian stable main contrib non-free
deb-src http://debian.ethz.ch/debian stable main contrib non-free

Inoffical Security Mirror

Reachable as security.debian.ethz.ch HTTP HTTPS FTP

Our security mirror is no official security mirror and only thought as local cache. See the Debian Security FAQ for reasoning.

Its updates are not triggered by a push, hence the mirror may be out of date. If you want to use it, we suggest to use it like this (you can also use HTTP instead of HTTPS if you want):

Debian 12 "Bookworm" or newer

deb https://security.debian.ethz.ch/debian-security bookworm-security main contrib non-free non-free-firmware
deb http://security.debian.org/ stable-security main contrib non-free non-free-firmware

Debian 11 "Bullseye" or newer

deb https://security.debian.ethz.ch/ stable-security main contrib non-free
deb http://security.debian.org/ stable-security main contrib non-free

Debian 10 "Buster" or older

deb https://security.debian.ethz.ch/ buster/updates main contrib non-free
deb http://security.debian.org/ buster/updates main contrib non-free

So if this security mirror already has the updated package mirrored, it's fetched from there as it's the first hit for the newest version. If not, the newest package is still already available and fetched from the official servers.

Use at your own risk!

Access by Alternative Protocols

Alternative access by FTP is possible.

Alternative access by HTTPS is possible for all *.ethz.ch hostnames.

The main Debian archives are accessible by rsync:

  • rsync debian.ethz.ch::debian
  • rsync debian.ethz.ch::debian-cd
  • rsync debian.ethz.ch::debian-archive

Additionally, also the following archives are available by rsync:

  • rsync debian.ethz.ch::raspbian
  • rsync debian.ethz.ch::ubuntu

About and Contact

This server is one of the official Debian mirrors (status of the Debian mirror network) and also known as ftp.ch.debian.org.

In case of problems with this specific mirror, please contact the IT Services Group (ISG) of the Dept. of Physics at ETH Zurich, preferably by e-mail to mirror@phys.ethz.ch.